Pu Zhao

Orcid: 0000-0001-5018-2859

Affiliations:
  • Northeastern University, Boston, MA, USA


According to our database1, Pu Zhao authored at least 53 papers between 2017 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
EdgeQAT: Entropy and Distribution Guided Quantization-Aware Training for the Acceleration of Lightweight LLMs on the Edge.
CoRR, 2024

Detection and Recovery Against Deep Neural Network Fault Injection Attacks Based on Contrastive Learning.
CoRR, 2024

2023
The Autonomous Vehicle Assistant (AVA): Emerging technology design supporting blind and visually impaired travelers in autonomous transportation.
Int. J. Hum. Comput. Stud., November, 2023

Gaining the Sparse Rewards by Exploring Binary Lottery Tickets in Spiking Neural Network.
CoRR, 2023

Condense: A Framework for Device and Frequency Adaptive Neural Network Models on the Edge.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Pruning Parameterization with Bi-level Optimization for Efficient Semantic Segmentation on the Edge.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

Towards Real-Time Segmentation on the Edge.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

Less is More: Data Pruning for Faster Adversarial Training.
Proceedings of the Workshop on Artificial Intelligence Safety 2023 (SafeAI 2023) co-located with the Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI 2023), 2023

2022
Automatic Mapping of the Best-Suited DNN Pruning Schemes for Real-Time Mobile Acceleration.
ACM Trans. Design Autom. Electr. Syst., 2022

Efficient Multi-Prize Lottery Tickets: Enhanced Accuracy, Training, and Inference Speed.
CoRR, 2022

More or Less (MoL): Defending against Multiple Perturbation Attacks on Deep Neural Networks through Model Ensemble and Compression.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision Workshops, 2022

Advancing Model Pruning via Bi-level Optimization.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

BLCR: Towards Real-time DNN Execution with Block-based Reweighted Pruning.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Learning to Generate Image Source-Agnostic Universal Adversarial Perturbations.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

Pruning-as-Search: Efficient Neural Architecture Search via Channel Pruning and Structural Reparameterization.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

All-in-One: A Highly Representative DNN Pruning Framework for Edge Devices with Dynamic Power Management.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Compiler-Aware Neural Architecture Search for On-Mobile Real-time Super-Resolution.
Proceedings of the Computer Vision - ECCV 2022, 2022

2021
Achieving Real-Time Object Detection on MobileDevices with Neural Pruning Search.
CoRR, 2021

High-Robustness, Low-Transferability Fingerprinting of Neural Networks.
CoRR, 2021

CoCoPIE: enabling real-time AI on off-the-shelf mobile devices via compression-compilation co-design.
Commun. ACM, 2021

Brief Industry Paper: Towards Real-Time 3D Object Detection for Autonomous Vehicles with Pruning Search.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021

Characteristic Examples: High-Robustness, Low-Transferability Fingerprinting of Neural Networks.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

A Compression-Compilation Framework for On-mobile Real-time BERT Applications.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

Achieving on-Mobile Real-Time Super-Resolution with Neural Architecture and Pruning Search.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021

Neural Pruning Search for Real-Time Object Detection of Autonomous Vehicles.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

NPAS: A Compiler-Aware Framework of Unified Network Pruning and Architecture Search for Beyond Real-Time Mobile Acceleration.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021

Intrinsic Examples: Robust Fingerprinting of Deep Neural Networks.
Proceedings of the 32nd British Machine Vision Conference 2021, 2021

2020
Exploring GPU acceleration of Deep Neural Networks using Block Circulant Matrices.
Parallel Comput., 2020

Achieving Real-Time LiDAR 3D Object Detection on a Mobile Device.
CoRR, 2020

6.7ms on Mobile with over 78% ImageNet Accuracy: Unified Network Pruning and Architecture Search for Beyond Real-Time Mobile Acceleration.
CoRR, 2020

Learned Fine-Tuner for Incongruous Few-Shot Learning.
CoRR, 2020

Achieving Real-Time Execution of Transformer-based Large-scale Models on Mobile with Compiler-aware Neural Architecture Optimization.
CoRR, 2020

A Privacy-Preserving DNN Pruning and Mobile Acceleration Framework.
CoRR, 2020

Defending against Backdoor Attack on Deep Neural Networks.
CoRR, 2020

BLK-REW: A Unified Block-based DNN Pruning Framework using Reweighted Regularization Method.
CoRR, 2020

Towards Real-Time DNN Inference on Mobile Platforms with Model Pruning and Compiler Optimization.
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020

Bridging Mode Connectivity in Loss Landscapes and Adversarial Robustness.
Proceedings of the 8th International Conference on Learning Representations, 2020

3D CNN Acceleration on FPGA using Hardware-Aware Pruning.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Towards Query-Efficient Black-Box Adversary with Zeroth-Order Natural Gradient Descent.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

Towards Certificated Model Robustness Against Weight Perturbations.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
Interpreting Adversarial Examples by Activation Promotion and Suppression.
CoRR, 2019

Structured Adversarial Attack: Towards General Implementation and Better Interpretability.
Proceedings of the 7th International Conference on Learning Representations, 2019

On the Design of Black-Box Adversarial Examples by Leveraging Gradient-Free Optimization and Operator Splitting Method.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019

HSIM-DNN: Hardware Simulator for Computation-, Storage- and Power-Efficient Deep Neural Networks.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Fault Sneaking Attack: a Stealthy Framework for Misleading Deep Neural Networks.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

ADMM attack: an enhanced adversarial attack for deep neural networks with undetectable distortions.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Structured Adversarial Attack: Towards General Implementation and Better Interpretability.
CoRR, 2018

An ADMM-Based Universal Framework for Adversarial Attacks on Deep Neural Networks.
Proceedings of the 2018 ACM Multimedia Conference on Multimedia Conference, 2018

Defensive dropout for hardening deep neural networks under adversarial attacks.
Proceedings of the International Conference on Computer-Aided Design, 2018

Reinforced Adversarial Attacks on Deep Neural Networks Using ADMM.
Proceedings of the 2018 IEEE Global Conference on Signal and Information Processing, 2018

Defending DNN Adversarial Attacks with Pruning and Logits Augmentation.
Proceedings of the 2018 IEEE Global Conference on Signal and Information Processing, 2018

A deep reinforcement learning framework for optimizing fuel economy of hybrid electric vehicles.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Hierarchical resource allocation and consolidation framework in a multi-core server cluster using a Markov decision process model.
IET Cyper-Phys. Syst.: Theory & Appl., 2017


  Loading...