Kaiyuan Yang

Orcid: 0000-0001-7220-9389

Affiliations:
  • Rice University, Houston, TX, USA
  • University of Michigan, Ann Arbor, MI, USA (PhD 2017)


According to our database1, Kaiyuan Yang authored at least 63 papers between 2012 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
33.6 A Millimetric Batteryless Biosensing and Stimulating Implant with Magnetoelectric Power Transfer and 0.9pJ/b PWM Backscatter.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

17.1 Omnidirectional Magnetoelectric Power Transfer for Miniaturized Biomedical Implants via Active Echo.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

25.3 Toward Exponential Growth of Therapeutic Neurotechnology.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

16.5 A Synthesizable Design-Agnostic Timing Fault Injection Monitor Covering 2MHz to 1.26GHz Clocks in 65nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
A 28-nm 368-fJ/Cycle, 0.43%/V Supply-Sensitivity, FLL-Based RC Oscillator Featuring Positive-TC-Only Resistors and ΔΣM-Based Trimming.
IEEE Trans. Circuits Syst. II Express Briefs, November, 2023

Magnetoeletric Backscatter Communication for Millimeter-Sized Wireless Biomedical Implants.
GetMobile Mob. Comput. Commun., March, 2023

ASCH-PUF: A "Zero" Bit Error Rate CMOS Physically Unclonable Function With Dual-Mode Low-Cost Stabilization.
IEEE J. Solid State Circuits, 2023

A 36nW CMOS Temperature Sensor with <0.1K Inaccuracy and Uniform Resolution.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A Fully Synthesizable 100Mbps Edge-Chasing True Random Number Generator.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

CASA: An Energy-Efficient and High-Speed CAM-based SMEM Seeding Accelerator for Genome Alignment.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

2022
MeNTT: A Compact and Efficient Processing-in-Memory Number Theoretic Transform (NTT) Accelerator.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Magnetoelectric Bio-Implants Powered and Programmed by a Single Transmitter for Coordinated Multisite Stimulation.
IEEE J. Solid State Circuits, 2022

PIM-QAT: Neural Network Quantization for Processing-In-Memory (PIM) Systems.
CoRR, 2022

Software-hardware codesign for efficient in-memory regular pattern matching.
Proceedings of the PLDI '22: 43rd ACM SIGPLAN International Conference on Programming Language Design and Implementation, San Diego, CA, USA, June 13, 2022

Magnetoelectric backscatter communication for millimeter-sized wireless biomedical implants.
Proceedings of the ACM MobiCom '22: The 28th Annual International Conference on Mobile Computing and Networking, Sydney, NSW, Australia, October 17, 2022

F8Net: Fixed-Point 8-bit Only Multiplication for Network Quantization.
Proceedings of the Tenth International Conference on Learning Representations, 2022

CAMA: Energy and Memory Efficient Automata Processing in Content-Addressable Memories.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

A Lossless and Modeling Attack-Resistant Strong PUF with <4E-8 Bit Error Rate.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

DCT-RAM: A Driver-Free Process-In-Memory 8T SRAM Macro with Multi-Bit Charge-Domain Computation and Time-Domain Quantization.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
CAP-RAM: A Charge-Domain In-Memory Computing 6T-SRAM for Accurate and Precision-Programmable CNN Inference.
IEEE J. Solid State Circuits, 2021

A Dual-Port 8-T CAM-Based Network Intrusion Detection Engine for IoT.
CoRR, 2021

MePLER: A 20.6-pJ Side-Channel-Aware In-Memory CDT Sampler.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

12.3 Exploring PUF-Controlled PA Spectral Regrowth for Physical-Layer Identification of IoT Nodes.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

36.5 An Automatic Self-Checking and Healing Physically Unclonable Function (PUF) with <3 × 10⁻⁸ Bit Error Rate.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

MC<sup>2</sup>-RAM: An In-8T-SRAM Computing Macro Featuring Multi-Bit Charge-Domain Computing and ADC-Reduction Weight Encoding.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

NPAS: A Compiler-Aware Framework of Unified Network Pruning and Architecture Search for Beyond Real-Time Mobile Acceleration.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021

Multisite bio-stimulating implants magnetoelectrically powered and individually programmed by a single transmitter.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

2020
MagNI: A Magnetoelectrically Powered and Controlled Wireless Neurostimulating Implant.
IEEE Trans. Biomed. Circuits Syst., 2020

A Self-Regulated and Reconfigurable CMOS Physically Unclonable Function Featuring Zero-Overhead Stabilization.
IEEE J. Solid State Circuits, 2020

6.7ms on Mobile with over 78% ImageNet Accuracy: Unified Network Pruning and Architecture Search for Beyond Real-Time Mobile Acceleration.
CoRR, 2020

Concept2Robot: Learning Manipulation Concepts from Instructions and Human Demonstrations.
Proceedings of the Robotics: Science and Systems XVI, 2020

AA-ResNet: Energy Efficient All-Analog ResNet Accelerator.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

34.3 An 8.2mm<sup>3</sup> Implantable Neurostimulator with Magnetoelectric Power and Data Transfer.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

25.3 A 65nm Edge-Chasing Quantizer-Based Digital LDO Featuring 4.58ps-FoM and Side-Channel-Attack Resistance.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

2019
A 562F<sup>2</sup> Physically Unclonable Function with a Zero-Overhead Stabilization Scheme.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

IoT<sup>2</sup> - the Internet of Tiny Things: Realizing mm-Scale Sensors through 3D Die Stacking.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

A 0.84pJ/cycle Wheatstone Bridge Based CMOS RC Oscillator with Reconfigurable Frequencies.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

2018
iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor.
IEEE J. Solid State Circuits, 2018

A 28NM Integrated True Random Number Generator Harvesting Entropy from MRAM.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

A 0.04MM<sup>3</sup>16NW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

2017
Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Hardware Designs for Security in Ultra-Low-Power IoT Systems: An Overview and Survey.
IEEE Micro, 2017

A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications.
IEEE J. Solid State Circuits, 2017

Exploiting the analog properties of digital circuits for malicious hardware.
Commun. ACM, 2017

9.2 A 0.6nJ -0.22/+0.19°C inaccuracy temperature sensor using exponential subthreshold oscillation dependence.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

8.3 A 553F<sup>2</sup> 2-transistor amplifier-based Physically Unclonable Function (PUF) with 1.67% native instability.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

11.2 A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Rectified-linear and recurrent neural networks built with spin devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A 1.02nW PMOS-only, trim-free current reference with 282ppm/°C from -40°C to 120°C and 1.6% within-wafer inaccuracy.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

2016
An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations.
IEEE J. Solid State Circuits, 2016

A 66pW discontinuous switch-capacitor energy harvester for self-sustaining sensor applications.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A compact 446 Gbps/W AES accelerator for mobile SoC and IoT in 40nm.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A2: Analog Malicious Hardware.
Proceedings of the IEEE Symposium on Security and Privacy, 2016

8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

8.5 A 60%-efficiency 20nW-500µW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
A robust -40 to 120°C all-digital true random number generator in 40nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2015

14.2 A physically unclonable function with BER<sup>-8</sup> for robust chip authentication using oscillator collapse in 40nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Racetrack converter: A low power and compact data converter using racetrack spintronic devices.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

2014
15.4b incremental sigma-delta capacitance-to-digital converter with zoom-in 9b asynchronous SAR.
Proceedings of the Symposium on VLSI Circuits, 2014

16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2012
Design quality tradeoff studies for 3D ICs built with nano-scale TSVs and devices.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

A transformer-based filtering technique to lower LC-oscillator phase noise.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012


  Loading...