Miriam Leeser

Orcid: 0000-0002-5624-056X

Affiliations:
  • Northeastern University, Boston, USA


According to our database1, Miriam Leeser authored at least 169 papers between 1986 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Efficient Neural Networks on the Edge with FPGAs by Optimizing an Adaptive Activation Function.
Sensors, March, 2024

EdgeQAT: Entropy and Distribution Guided Quantization-Aware Training for the Acceleration of Lightweight LLMs on the Edge.
CoRR, 2024

2023
Artifact Evaluation for ACM TRETS Papers Submitted from the FPT Journal Track.
ACM Trans. Reconfigurable Technol. Syst., September, 2023

Quadrupedal Locomotion Control On Inclined Surfaces Using Collocation Method.
CoRR, 2023

A Framework to Enable Runtime Programmable P4-enabled FPGAs in the Open Cloud Testbed.
Proceedings of the IEEE INFOCOM 2023, 2023

Selective Encryption of Compressed Image Regions on the Edge with Reconfigurable Hardware.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2023

Accelerating Garbled Circuits in the Open Cloud Testbed with Multiple Network-Attached FPGAs.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2023

Machine Learning Across Network-Connected FPGAs.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2023

Neural Network on the Edge: Efficient and Low Cost FPGA Implementation of Digital Predistortion in MIMO Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
The Future of FPGA Acceleration in Datacenters and the Cloud.
ACM Trans. Reconfigurable Technol. Syst., 2022

Evaluating Theoretical Baselines for ML Benchmarking Across Different Accelerators.
IEEE Des. Test, 2022

Machine Learning Aided Hardware Resource Estimation for FPGA DNN Implementations.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022

Network Attached FPGAs in the Open Cloud Testbed (OCT).
Proceedings of the IEEE INFOCOM 2022, 2022

Hardware Software Codesign of Applications on the Edge: Accelerating Digital PreDistortion for Wireless Communications.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2022

Optimizing Designs Using Several Types of Memories on Modern FPGAs.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2022

Auto-ViT-Acc: An FPGA-Aware Automatic Acceleration Framework for Vision Transformer with Mixed-Scheme Quantization.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

FPGA-aware automatic acceleration framework for vision transformer with mixed-scheme quantization: late breaking results.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Evaluation of Optimized CNNs on Heterogeneous Accelerators Using a Novel Benchmarking Approach.
IEEE Trans. Computers, 2021

FPGAs in the Cloud.
Comput. Sci. Eng., 2021

Accelerating Matrix Processing for MIMO Systems.
Proceedings of the HEART '21: 11th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2021

Computationally Efficient Look-up-Tables for Behavioral Modelling and Digital Pre-distortion of Multi-standard Wireless Systems.
Proceedings of the Cognitive Radio Oriented Wireless Networks and Wireless Internet, 2021

The Open Cloud Testbed (OCT): A Platform for Research into new Cloud Technologies.
Proceedings of the 10th IEEE International Conference on Cloud Networking, CloudNet 2021, 2021

2020
Strategies and Demonstration to Support Multiple Wireless Protocols with a Single RF Front-End.
IEEE Wirel. Commun., 2020

A Novel Physical Layer Authentication With PAPR Reduction Based on Channel and Hardware Frequency Responses.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

High-performance transformation of protein structure representation from internal to Cartesian coordinates.
J. Comput. Chem., 2020

Real Time Receiver Baseband Processing Platform for Sub 6 GHz PHY Layer Experiments.
IEEE Access, 2020

Optimizing Use of Different Types of Memory for FPGAs in High Performance Computing.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020

Exploiting GPU Direct Access to Non-Volatile Memory to Accelerate Big Data Processing.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020

Evaluation of Optimized CNNs on FPGA and non-FPGA based Accelerators using a Novel Benchmarking Approach.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

3D CNN Acceleration on FPGA using Hardware-Aware Pruning.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Demonstrating Spectrally Efficient Asynchronous Coexistence for Machine Type Communication: A Software Defined Radio Approach.
Proceedings of the Cognitive Radio-Oriented Wireless Networks, 2020

2019
New Performance Modeling Methods for Parallel Data Processing Applications.
ACM Trans. Model. Comput. Simul., 2019

Identifying volatile numeric expressions in numeric computing applications.
Math. Comput. Simul., 2019

QuTiBench: Benchmarking Neural Networks on Heterogeneous Hardware.
ACM J. Emerg. Technol. Comput. Syst., 2019

SIFO: Secure Computational Infrastructure Using FPGA Overlays.
Int. J. Reconfigurable Comput., 2019

An FPGA Design Technique to Receive Multiple Wireless Protocols with the Same RF Front End.
Proceedings of the 2019 Wireless Days, 2019

Accelerating Large Garbled Circuits on an FPGA-enabled Cloud.
Proceedings of the 2019 IEEE/ACM International Workshop on Heterogeneous High-performance Reconfigurable Computing, 2019

Garbled Circuits in the Cloud using FPGA Enabled Nodes.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019

2018
FINN-<i>R</i>: An End-to-End Deep-Learning Framework for Fast Exploration of Quantized Neural Networks.
ACM Trans. Reconfigurable Technol. Syst., 2018

Hardware-Software Codesign of Wireless Transceivers on Zynq Heterogeneous Systems.
IEEE Trans. Emerg. Top. Comput., 2018

Local and Global Shared Memory for Task Based HPC Applications on Heterogeneous Platforms.
Proceedings of the 26th Euromicro International Conference on Parallel, 2018

Stripmap SAR Pulse Interleaved Scheduling.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

Dynamic Deployment of Communication Applications to Different Hardware Platforms using Ontological Representations.
Proceedings of the 2018 IEEE High Performance Extreme Computing Conference, 2018

Digital Pre-distortion Implemented Using FPGA.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

Cross Component Optimization for Modern LTE Downlink Shared Channel Implementation.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

High-Level and Compact Design of Cross-Channel LTE DownLink Channel Encoder.
Proceedings of the Cognitive Radio Oriented Wireless Networks, 2018

Detection of Different Wireless Protocols on an FPGA with the Same Analog/RF Front End.
Proceedings of the Cognitive Radio Oriented Wireless Networks, 2018

2017
A Framework for Developing Parallel Applications with high level Tasks on Heterogeneous Platforms.
Proceedings of the 8th International Workshop on Programming Models and Applications for Multicores and Manycores, 2017

Scaling Neural Network Performance through Customized Hardware Architectures on Reconfigurable Logic.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Accelerating big data applications using lightweight virtualization framework on enterprise cloud.
Proceedings of the 2017 IEEE High Performance Extreme Computing Conference, 2017

Using High Level GPU Tasks to Explore Memory and Communications Options on Heterogeneous Platforms.
Proceedings of the 2017 Workshop on Software Engineering Methods for Parallel and High Performance Applications, 2017

FPGA modeling techniques for detecting and demodulating multiple wireless protocols.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

Secure Function Evaluation Using an FPGA Overlay Architecture.
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

FIM: Performance Prediction for Parallel Computation in Iterative Data Processing Applications.
Proceedings of the 2017 IEEE 10th International Conference on Cloud Computing (CLOUD), 2017

2016
Open-Source Variable-Precision Floating-Point Library for Major Commercial FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2016

High-Level System Design of IEEE 802.11b Standard-Compliant Link Layer for MATLAB-Based SDR.
IEEE Access, 2016

Cardiac MRI compressed sensing image reconstruction with a graphics processing unit.
Proceedings of the 10th International Symposium on Medical Information and Communication Technology, 2016

Performance prediction techniques for scalable large data processing in distributed MPI systems.
Proceedings of the 35th IEEE International Performance Computing and Communications Conference, 2016

High-level hardware-software co-design of an 802.11a transceiver system using Zynq SoC.
Proceedings of the IEEE Conference on Computer Communications Workshops, 2016

Unified and lightweight tasks and conduits: A high level parallel programming framework.
Proceedings of the 2016 IEEE High Performance Extreme Computing Conference, 2016

Design space exploration of GPU Accelerated cluster systems for optimal data transfer using PCIe bus.
Proceedings of the 2016 IEEE High Performance Extreme Computing Conference, 2016

Modeling considerations for the hardware-software co-design of flexible modern wireless transceivers.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

State-Action Based Link Layer Design for IEEE 802.11b Compliant MATLAB-Based SDR.
Proceedings of the International Conference on Distributed Computing in Sensor Systems, 2016

2015
Kernel Specialization Provides Adaptable GPU Code for Particle Image Velocimetry.
IEEE Trans. Parallel Distributed Syst., 2015

Side-Channel Analysis of MAC-Keccak Hardware Implementations.
IACR Cryptol. ePrint Arch., 2015

Leakage evaluation on power balance countermeasure against side-channel attack on FPGAs.
Proceedings of the 2015 IEEE High Performance Extreme Computing Conference, 2015

Accelerating K-Means clustering with parallel implementations and GPU computing.
Proceedings of the 2015 IEEE High Performance Extreme Computing Conference, 2015

GPU implementation of reverse coordinate conversion for proteins.
Proceedings of the 2015 IEEE High Performance Extreme Computing Conference, 2015

Behavioral Non-portability in Scientific Numeric Computing.
Proceedings of the Euro-Par 2015: Parallel Processing, 2015

Implementing a MATLAB-Based Self-configurable Software Defined Radio Transceiver.
Proceedings of the Cognitive Radio Oriented Wireless Networks, 2015

Balance power leakage to fight against side-channel analysis at gate level in FPGAs.
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015

2014
Power Analysis Attack on Hardware Implementation of MAC-Keccak on FPGAs.
IACR Cryptol. ePrint Arch., 2014

Accelerating protein coordinate conversion using GPUs.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2014

Reducing Processing Latency with a Heterogeneous FPGA-Processor Framework.
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014

Make it real: Effective floating-point reasoning via exact arithmetic.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Digital Logic.
Proceedings of the Computing Handbook, 2014

2013
Kernel Specialization for Improved Adaptability and Performance on Graphics Processing Units (GPUs).
Proceedings of the 27th IEEE International Symposium on Parallel and Distributed Processing, 2013

FPGA-based hyperspectral covariance coprocessor for size, weight, and power constrained platforms.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2013

Vendor agnostic, high performance, double precision Floating Point division for FPGAs.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2013

Minimum energy operation for clustered island-style FPGAs.
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

2012
Minimum Energy Analysis and Experimental Verification of a Latch-Based Subthreshold FPGA.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

VForce: An environment for portable applications on high performance systems with accelerators.
J. Parallel Distributed Comput., 2012

CUDA and OpenCL implementations of 3D CT reconstruction for biomedical imaging.
Proceedings of the IEEE Conference on High Performance Extreme Computing, 2012

CRUSH: Cognitive Radio Universal Software Hardware.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Incremental clustering applied to radar deinterleaving: a parameterized FPGA implementation.
Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, 2012

Implementing Murf: Accelerating Large State Space Exploration on FPGAs.
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012

Cognitive Radio Universal Software Hardware.
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012

2011
The challenges of writing portable, correct and high performance libraries for GPUs.
SIGARCH Comput. Archit. News, 2011

A prototype FPGA for subthreshold-optimized CMOS (abstract only).
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011

An Autonomous Vector/Scalar Floating Point Coprocessor for FPGAs.
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011

2010
VFloat: A Variable Precision Fixed- and Floating-Point Library for Reconfigurable Hardware.
ACM Trans. Reconfigurable Technol. Syst., 2010

Efficient template matching with variable size templates in CUDA.
Proceedings of the IEEE 8th Symposium on Application Specific Processors, 2010

2009
A truly two-dimensional systolic array FPGA implementation of QR decomposition.
ACM Trans. Embed. Comput. Syst., 2009

FPGA Supercomputing Platforms, Architectures, and Techniques for Accelerating Computationally Complex Algorithms.
EURASIP J. Embed. Syst., 2009

Parallel Backprojection: A Case Study in High-Performance Reconfigurable Computing.
EURASIP J. Embed. Syst., 2009

The Effect of Parameterization on a Reconfigurable Implementation of PIV.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009

Accelerating phase unwrapping and affine transformations for optical quadrature microscopy using CUDA.
Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, 2009

Implementing a Highly Parameterized Digital PIV System on Reconfigurable Hardware.
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009

2008
Efficient Communication Between the Embedded Processor and the Reconfigurable Logic on an FPGA.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Acknowledgment to special issue reviewers.
J. Parallel Distributed Comput., 2008

Special issue: General-purpose processing using graphics processing units.
J. Parallel Distributed Comput., 2008

Implementing phase unwrapping using Field Programmable Gate Arrays or Graphics Processing Units: A comparison.
Proceedings of the 2008 Second International Workshop on High-Performance Reconfigurable Computing Technology and Applications, 2008

Efficient FPGA implementation of qr decomposition using a systolic array architecture.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008

An FPGA Implementation of Explicit-State Model Checking.
Proceedings of the 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2008

An Efficient Implementation of a Phase Unwrapping Kernel on Reconfigurable Hardware.
Proceedings of the 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2008

2007
Dynamo: a runtime partitioning system for FPGA-based HW/SW image processing systems.
J. Real Time Image Process., 2007

Vforce: An Extensible Framework for Reconfigurable Supercomputing.
Computer, 2007

K-means Clustering for Multispectral Images Using Floating-Point Divide.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

Writing Portable Applications that Dynamically Bind at Run Time to Reconfigurable Hardware.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

The 1D Discrete Cosine Transform For Large Point Sizes Implemented On Reconfigurable Hardware.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007

2006
Enabling MPEG-2 video playback in embedded systems through improved data cache efficiency.
IEEE Trans. Multim., 2006

Real-Time Particle Image Velocimetry for Feedback Loops Using FPGA Implementation.
J. Aerosp. Comput. Inf. Commun., 2006

Field-Programmable Gate Arrays in Embedded Systems.
EURASIP J. Embed. Syst., 2006

Poster reception - Improving the performance of parallel backprojection on a reconfigurable supercomputer.
Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, 2006

Efficient use of communications between an FPGA's embedded processor and its reconfigurable logic.
Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, 2006

Automatic Sliding Window Operation Optimization for FPGA-Based.
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006

Advanced Components in the Variable Precision Floating-Point Library.
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006

Efficient Use of Communications Between an FPGAs Embedded Processor and its Reconfigurable Logic.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

2005
Parallel-Beam Backprojection: An FPGA Implementation Optimized for Medical Imaging.
J. VLSI Signal Process., 2005

Enabling a Real-Time Solution for Neuron Detection with Reconfigurable Hardware.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

Enabling a RealTime Solution for Neuron Detection with Reconfigurable Hardware (abstract only).
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

2004
An FPGA implementation of the two-dimensional finite-difference time-domain (FDTD) algorithm.
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004

Smart Camera Based on Reconfigurable Hardware Enables Diverse Real-Time Applications.
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004

Dynamo: A Runtime Partitioning System.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004

2003
Programming portable optimized multimedia applications.
Proceedings of the Eleventh ACM International Conference on Multimedia, 2003

Runtime Assignment of Reconfigurable Hardware Components for Image Processing Pipelines.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003

Precision Modeling of Floating-Point Applications for Variable Bitwidth Computing.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23, 2003

2002
A Library of Parameterized Floating-Point Modules and Their Use.
Proceedings of the Field-Programmable Logic and Applications, 2002

Parallel-beam backprojection: an FPGA implementation optimized for medical imaging.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2002

2001
Accurate Power Estimation for Sequential CMOS Circuits Using Graph-based Methods.
VLSI Design, 2001

Design and analysis of a dynamically reconfigurable three-dimensional FPGA.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Run-Time Execution of Reconfigurable Hardware in a Java Environment.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

Algorithmic transformations in the implementation of K- means clustering on reconfigurable hardware.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2001

2000
HML, a novel hardware description language and its translation to VHDL.
IEEE Trans. Very Large Scale Integr. Syst., 2000

A data-centric approach to high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Implementing a RAKE receiver for wireless communications on an FPGA-based computer system.
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2000

1998
Rothko: A Three-Dimensional FPGA.
IEEE Des. Test Comput., 1998

Truly Rapid Prototyping Requires High-Level Synthesis.
Proceedings of the Ninth IEEE International Workshop on Rapid System Prototyping (RSP 1998), 1998

Integrating floorplanning in data-transfer based high-level synthesis.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

High Level Synthesis for Designing Custom Computing Hardware.
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998

The DT-Model: High-Level Synthesis Using Data Transfers.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Division and square root: choosing the right implementation.
IEEE Micro, 1997

Optimizing the Data Cache Performance of a Software MPEG-2 Video Decoder.
Proceedings of the Fifth ACM International Conference on Multimedia '97, 1997

Memory Traffic and Data Cache Behavior of an MPEG-2 Software Decoder.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

Rothko: A three dimensional FPGA architecture, its fabrication, and design tools.
Proceedings of the Field-Programmable Logic and Applications, 7th International Workshop, 1997

Architectural Design of a Three Dimensional FPGA.
Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97), 1997

1996
Area and Performance Tradeoffs in Floating-Point Divide and Square-Root Implementations.
ACM Comput. Surv., 1996

1995
Verifying a Logic-Synthesis Algorithm and Implementation: A Case Study in Software Verification.
IEEE Trans. Software Eng., 1995

An Automaton Model for Scheduling Constraints in Synchronous Machines.
IEEE Trans. Computers, 1995

Verification of a subtractive radix-2 square root algorithm and implementation.
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995

An Area/Performance Comparison of Subtractive and Multiplicative Divide/Square Root Implementations.
Proceedings of the 12th Symposium on Computer Arithmetic (ARITH-12 '95), 1995

1994
PBS: proven Boolean simplification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

A Methodology for Efficient Hardware Verification.
Formal Methods Syst. Des., 1994

Non-Restoring Integer Square Root: A Case Study in Design by Principled Optimization.
Proceedings of the Theorem Provers in Circuit Design, 1994

Reasoning About Pipelines with Structural Hazards.
Proceedings of the Theorem Provers in Circuit Design, 1994

Simulation of digital circuits in the presence of uncertainty.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

1993
High level synthesis and generating FPGAs with the BEDROC system.
J. VLSI Signal Process., 1993

High level synthesis and generation FPGAs with the BEDROC system.
J. VLSI Signal Process., 1993

Toward a Super Duper Hardware Tactic.
Proceedings of the Higher Order Logic Theorem Proving and its Applications, 1993

A Framework for Specifying and Designing Pipelines.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

HML: A Hardware Description Language Based on Standard ML.
Proceedings of the Computer Hardware Description Languages and their Applications, Proceedings of the 11th IFIP WG10.2 International Conference on Computer Hardware Description Languages and their Applications, 1993

1992
A Methodology for Reusable Hardware Proofs.
Proceedings of the Higher Order Logic Theorem Proving and its Applications, 1992

Verifying a Logic Synthesis Tool in Nuprl: A Case Study in Software Verification.
Proceedings of the Computer Aided Verification, Fourth International Workshop, 1992

1991
Formally verified synthesis of combinational CMOS circuits.
Integr., 1991

A Formally Verified System for Logic Synthesis.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

1989
Reasoning about the function and timing of integrated circuits with interval temporal logic.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1989

From Programs to Transistors: Verifying Hardware Synthesis Tools.
Proceedings of the Hardware Specification, 1989

1987
Reasoning about the function and timing of integrated circuits with Prolog and temporal logic.
PhD thesis, 1987

1986
Automatic determination of signal flow through MOS transistor networks.
Integr., 1986


  Loading...