Saeideh Shirinzadeh

Orcid: 0000-0002-8824-1428

According to our database1, Saeideh Shirinzadeh authored at least 23 papers between 2013 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
In-Memory SAT-Solver for Self-Verification of Programmable Memristive Architectures.
Proceedings of the 37th International Conference on VLSI Design and 23rd International Conference on Embedded Systems, 2024

2023
Verification of In-Memory Logic Design using ReRAM Crossbars.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Automated Equivalence Checking Method for Majority Based In-Memory Computing on ReRAM Crossbars.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Parallel Computing of Graph-based Functions in ReRAM.
ACM J. Emerg. Technol. Comput. Syst., 2022

Unlocking High Resolution Arithmetic Operations within Memristive Crossbars for Error Tolerant Applications.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Unlocking Sneak Path Analysis in Memristor Based Logic Design Styles.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

2020
Multiply-Accumulate Enhanced BDD-Based Logic Synthesis on RRAM Crossbars.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
ComPRIMe: A Compiler for Parallel and Scalable ReRAM-based In-Memory Computing.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

Logic Synthesis for Hybrid CMOS-ReRAM Sequential Circuits.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

2018
Synthesis and optimization for logic-in-memory computing using memristive devices.
PhD thesis, 2018

Logic Synthesis for RRAM-Based In-Memory Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Logic Synthesis for In-memory Computing Using Resistive Memories.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Logic Design Using Memristors: An Emerging Technology.
Proceedings of the 48th IEEE International Symposium on Multiple-Valued Logic, 2018

2017
Synthesis of optical circuits using binary decision diagrams.
Integr., 2017

A PLiM Computer for the Internet of Things.
Computer, 2017

An adaptive prioritized <i>ε</i>-preferred evolutionary algorithm for approximate BDD optimization.
Proceedings of the Genetic and Evolutionary Computation Conference, 2017

Endurance management for resistive Logic-In-Memory computing architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Approximate BDD Optimization with Prioritized ε-Preferred Evolutionary Algorithm.
Proceedings of the Genetic and Evolutionary Computation Conference, 2016

Multi-objective BDD optimization for RRAM based circuit design.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

Fast logic synthesis for RRAM-based in-memory computing using Majority-Inverter Graphs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

An MIG-based compiler for programmable logic-in-memory architectures.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Multi-Objective BDD Optimization with Evolutionary Algorithms.
Proceedings of the Genetic and Evolutionary Computation Conference, 2015

2013
High Efficiency Time Redundant Hardened Latch for Reliable Circuit Design.
J. Electron. Test., 2013


  Loading...