Giovanni De Micheli

Orcid: 0000-0002-7827-3215

Affiliations:
  • Swiss Federal Institute of Technology in Lausanne, Switzerland


According to our database1, Giovanni De Micheli authored at least 625 papers between 1983 and 2024.

Collaborative distances:

Awards

ACM Fellow

ACM Fellow 2001, "For his contributions to the design technologies of integrated circuits and systems and for his service to the community via a prominent textbook.".

IEEE Fellow

IEEE Fellow 1994, "For contribution to synthesis algorithms for the design of electronic circuits and systems.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Unleashing the Power of T1-cells in SFQ Arithmetic Circuits.
CoRR, 2024

Towards Multiphase Clocking in Single-Flux Quantum Systems.
CoRR, 2024

Quantum State Preparation Using an Exact CNOT Synthesis Formulation.
CoRR, 2024

2023
Heuristic Logic Resynthesis Algorithms at the Core of Peephole Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Strange Loops in Design and Technology: 59th DAC Keynote Speech.
IEEE Des. Test, October, 2023

Garbled Circuits Reimagined: Logic Synthesis Unleashes Efficient Secure Computation.
Cryptogr., September, 2023

Utilizing XMG-Based Synthesis to Preserve Self-Duality for RFET-Based Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023

Accuracy recovery: A decomposition procedure for the synthesis of partially-specified Boolean functions.
Integr., March, 2023

AnySyn: A Cost-Generic Logic Synthesis Framework with Customizable Cost Functions.
CoRR, 2023

Scalable Sequential Optimization Under Observability Don't Cares.
CoRR, 2023

Synthesis of SFQ Circuits with Compound Gates.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Cyclical Progress in Design and Technology.
Proceedings of the IEEE International Conference on Integrated Circuits, 2023

Striving for Both Quality and Speed: Logic Synthesis for Practical Garbled Circuits.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Technology Mapping Using Multi-Output Library Cells.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Generating Lower-Cost Garbled Circuits: Logic Synthesis Can Help.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2023

Compound Logic Gates for Pipeline Depth Minimization in Single Flux Quantum Integrated Systems.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Fanout-Bounded Logic Synthesis for Emerging Technologies - A Top-Down Approach.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Improving Standard-Cell Design Flow using Factored Form Optimization.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Depth-Optimal Buffer and Splitter Insertion and Optimization in AQFP Circuits.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Logic Synthesis for Emerging Technologies.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
A Simulation-Guided Paradigm for Logic Synthesis and Verification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Advances in Quantum Computation and Quantum Technologies: A Design Automation Perspective.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Design and Automation for Quantum Computation and Quantum Technologies.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2022

Challenges and targets of MRAM-enabled scaled spintronic logic circuits.
CoRR, 2022

An Automated Testing and Debugging Toolkit for Gate-Level Logic Synthesis Applications.
CoRR, 2022

Efficient Deterministic Preparation of Quantum States Using Decision Diagrams.
CoRR, 2022

Logic Synthesis From Incomplete Specifications Using Disjoint Support Decomposition.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

Design and Optimization of Quantum Electronic Circuits.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

tweedledum: A Compiler Companion for Quantum Computing.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Majority-based Design Flow for AQFP Superconducting Family.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Beyond local optimality of buffer and splitter insertion for AQFP circuits.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Boolean Rewriting Strikes Back: Reconvergence-Driven Windowing Meets Resynthesis.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Efficient Preparation of Cyclic Quantum States.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

A Versatile Mapping Approach for Technology Mapping and Graph Optimization.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Three-Input Gates for Logic Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Real-Time Multi-Ion-Monitoring Front-End With Interference Compensation by Multi-Output Support Vector Regressor.
IEEE Trans. Biomed. Circuits Syst., 2021

The Emerging Majority: Technology and Design for Superconducting Electronics.
IEEE Des. Test, 2021

Irredundant Buffer and Splitter Insertion and Scheduling-Based Optimization for AQFP Circuits.
CoRR, 2021

Multi-Ion-Sensing Emulator and Multivariate Calibration Optimization by Machine Learning Models.
IEEE Access, 2021

Optimizing Adiabatic Quantum-Flux-Parametron (AQFP) Circuits using an Exact Database.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021

Logic Resynthesis of Majority-Based Circuits by Top-Down Decomposition.
Proceedings of the 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2021

From Boolean functions to quantum circuits: A scalable quantum compilation flow in C++.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Compilation flow for classically defined quantum operations.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Preserving Self-Duality During Logic Synthesis for Emerging Reconfigurable Nanotechnologies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

LUT-Based Optimization For ASIC Design Flow.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Algebraic and Boolean Optimization Methods for AQFP Superconducting Circuits.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
SAT-Based Exact Synthesis: Encodings, Topology Families, and Parallelism.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Advanced Functional Decomposition Using Majority and Its Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Nonsilicon, Non-von Neumann Computing - Part II.
Proc. IEEE, 2020

A Logic Synthesis Toolbox for Reducing the Multiplicative Complexity in Logic Networks.
IACR Cryptol. ePrint Arch., 2020

2019 DAC Roundtable.
IEEE Des. Test, 2020

Simulation-Guided Boolean Resubstitution.
CoRR, 2020

Extending Boolean Methods for Scalable Logic Synthesis.
IEEE Access, 2020

Symbolic Algorithms for Token Swapping.
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020

Automatic Uniform Quantum State Preparation Using Decision Diagrams.
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020

Enumerating Optimal Quantum Circuits using Spectral Classification.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Emulator Design and Generation of Synthetic Dataset in Multi-Ion Sensing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Multiplier Architectures: Challenges and Opportunities with Plasmonic-based Logic : (Special Session Paper).
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

SAT-Sweeping Enhanced for Logic Synthesis.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
FPGA-SPICE: A Simulation-Based Architecture Evaluation Framework for FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Devices and Circuits Using Novel 2-D Materials: A Perspective for Future VLSI Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2019

LUT-Based Hierarchical Reversible Logic Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Mapping Monotone Boolean Functions into Majority.
IEEE Trans. Computers, 2019

Fast Procedures for the Electrodeposition of Platinum Nanostructures on Miniaturized Electrodes for Improved Ion Sensing.
Sensors, 2019

Logic Synthesis for Established and Emerging Computing.
Proc. IEEE, 2019

Nonsilicon, Non-von Neumann Computing - Part I [Scanning the Issue].
Proc. IEEE, 2019

ROS: Resource-constrained Oracle Synthesis for Quantum Computers.
Proceedings of the Proceedings 16th International Conference on Quantum Physics and Logic, 2019

QubitHD: A Stochastic Acceleration Method for HD Computing-Based Machine Learning.
CoRR, 2019

Evaluating ESOP Optimization Methods in Quantum Compilation Flows.
Proceedings of the Reversible Computation - 11th International Conference, 2019

Multi-Target Electrolyte Sensing Front-End for Wearable Physical Monitoring.
Proceedings of the 15th Conference on Ph.D. Research in Microelectronics and Electronics, 2019

Logic Optimization of Majority-Inverter Graphs.
Proceedings of the 22nd Workshop Methods and Description Languages for Modelling and Verification of Circuits and Systems, 2019

All-solid-state Reference Electrodes for analytical applications.
Proceedings of the IEEE 8th International Workshop on Advances in Sensors and Interfaces, 2019

Scaling-up ESOP Synthesis for Quantum Compilation.
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019

Reversible Pebble Games for Reducing Qubits in Hierarchical Quantum Circuit Synthesis.
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019

Direct and Catalyst-Free Growth of Vertically-Stacked Graphene-Based Structures for Enhanced Drug Sensing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Exact Synthesis of Boolean Functions in Majority-of-Five Forms.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Flexible sweat sensors for non-invasive optimization of lithium dose in psychiatric disorders.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

The Role of Multiplicative Complexity in Compiling Low $T$-count Oracle Circuits.
Proceedings of the International Conference on Computer-Aided Design, 2019

Scalable Boolean Methods in a Modern Synthesis Flow.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Compiling Permutations for Superconducting QPUs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

On-the-fly and DAG-aware: Rewriting Boolean Networks with Exact Synthesis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Reversible Pebbling Game for Quantum Memory Management.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Reducing the Multiplicative Complexity in Logic Networks for Cryptography and Security Applications.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Scalable Generic Logic Synthesis: One Approach to Rule Them All.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

AdaptHD: Adaptive Efficient Training for Brain-Inspired Hyperdimensional Computing.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

Structural rewriting in XOR-majority graphs.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Memory Effects in Multi-terminal Solid State Devices and Their Applications.
Proceedings of the Handbook of Memristor Networks., 2019

2018
An IoT Solution for Online Monitoring of Anesthetics in Human Serum Based on an Integrated Fluidic Bioelectronic System.
IEEE Trans. Biomed. Circuits Syst., 2018

Towards Ultrasound Everywhere: A Portable 3D Digital Back-End Capable of Zone and Compound Imaging.
IEEE Trans. Biomed. Circuits Syst., 2018

Pairs of majority-decomposing functions.
Inf. Process. Lett., 2018

Post-P&R Performance and Power Analysis for RRAM-Based FPGAs.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Safe and Efficient Deployment of Data-Parallelizable Applications on Many-Core Platforms: Theory and Practice.
IEEE Des. Test, 2018

Exact Synthesis of ESOP Forms.
CoRR, 2018

The EPFL Logic Synthesis Libraries.
CoRR, 2018

SAT-based {CNOT, T} Quantum Circuit Synthesis.
Proceedings of the Reversible Computation - 10th International Conference, 2018

Keynote Talk: NoCs: A Short History of Success and a Long Future.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

Size Optimization of MIGs with an Application to QCA and STMG Technologies.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

A Flexible Front-End for Wearable Electrochemical Sensing.
Proceedings of the 2018 IEEE International Symposium on Medical Measurements and Applications, 2018

Generating Safety Guidance for Medical Injection with Three-Compartment Pharmacokinetics Model.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

End-to-End Industrial Study of Retiming.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Portable Memristive Biosensing System as Effective Point-of-Care Device for Cancer Diagnostics.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Deep Learning for Logic Optimization Algorithms.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Mixed Gold and Platinum Nanostructured Layers for All-Solid-State Ion Sensors.
Proceedings of the 2018 IEEE SENSORS, New Delhi, India, October 28-31, 2018, 2018

Integrated ESOP Refactoring for Industrial Designs.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Majority logic synthesis.
Proceedings of the International Conference on Computer-Aided Design, 2018

A novel electrochemical sensor for non-invasive monitoring of lithium levels in mood disorders.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

Doping-free complementary inverter enabled by 2D WSe2 electrostatically-doped reconfigurable transistors.
Proceedings of the 76th Device Research Conference, 2018

Practical exact synthesis.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Towards high-performance polarity-controllable FETs with 2D materials.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Improvements to boolean resynthesis.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Developing synthesis flows without human knowledge.
Proceedings of the 55th Annual Design Automation Conference, 2018

SAT based exact synthesis using DAG topology families.
Proceedings of the 55th Annual Design Automation Conference, 2018

A best-fit mapping algorithm to facilitate ESOP-decomposition in Clifford+T quantum network synthesis.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Functional decomposition using majority.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
A High-Performance FPGA Architecture Using One-Level RRAM-Based Multiplexers.
IEEE Trans. Emerg. Top. Comput., 2017

Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Cyber-Medical Systems: Requirements, Components and Design Examples.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Exact Synthesis of Majority-Inverter Graphs and Its Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Efficient Sample Delay Calculation for 2-D and 3-D Ultrasound Imaging.
IEEE Trans. Biomed. Circuits Syst., 2017

A Differential Electrochemical Readout ASIC With Heterogeneous Integration of Bio-Nano Sensors for Amperometric Sensing.
IEEE Trans. Biomed. Circuits Syst., 2017

Nano-Tera.ch: Information Technology for Health, Environment, and Energy.
IEEE Des. Test, 2017

Logic Synthesis for Quantum Computing.
CoRR, 2017

A PLiM Computer for the Internet of Things.
Computer, 2017

Networks on Chips: 15 Years Later.
Computer, 2017

Optimization opportunities in RRAM-based FPGA architectures.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

An electrochemical sensor for quantitative analysis of Rhesus D antibodies in blood.
Proceedings of the 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017

Inverter Propagation and Fan-Out Constraints for Beyond-CMOS Majority-Based Technologies.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Physical Design Considerations of One-level RRAM-based Routing Multiplexers.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

Classifying Functions with Exact Synthesis.
Proceedings of the 47th IEEE International Symposium on Multiple-Valued Logic, 2017

RM3 based logic synthesis (Special session paper).
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

An efficient electronic measurement interface for memristive biosensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Enabling exact delay synthesis.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Improving Circuit Mapping Performance Through MIG-based Synthesis for Carry Chains.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Wave pipelining for majority-based beyond-CMOS technologies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Design automation and design space exploration for quantum computers.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Busy man's synthesis: Combinational delay optimization with SAT.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Endurance management for resistive Logic-In-Memory computing architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

1024-Channel 3D ultrasound digital beamformer in a single 5W FPGA.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Single-FPGA complete 3D and 2D medical ultrasound imager.
Proceedings of the 2017 Conference on Design and Architectures for Signal and Image Processing, 2017

Hierarchical Reversible Logic Synthesis Using LUTs.
Proceedings of the 54th Annual Design Automation Conference, 2017

Live demonstration: An IoT smartwatch-based system for intensive care monitoring.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Live demonstration: Inexpensive 1024-channel 3D telesonography system on FPGA.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Inexpensive 1024-channel 3D telesonography system on FPGA.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Raspberry Pi driven flow-injection system for electrochemical continuous monitoring platforms.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

A novel basis for logic rewriting.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Multi-level logic benchmarks: An exactness study.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Memristive Biosensors Integration With Microfluidic Platform.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A Study on the Programming Structures for RRAM-Based FPGA Architectures.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Efficient Statistical Parameter Selection for Nonlinear Modeling of Process/Performance Variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Majority-Inverter Graph: A New Paradigm for Logic Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A Sound and Complete Axiomatization of Majority-n Logic.
IEEE Trans. Computers, 2016

A CMOS Amperometric System for Multi-Neurotransmitter Detection.
IEEE Trans. Biomed. Circuits Syst., 2016

In-Vivo Validation of Fully Implantable Multi-Panel Devices for Remote Monitoring of Metabolism.
IEEE Trans. Biomed. Circuits Syst., 2016

Design and analysis of jitter-aware low-power and high-speed TSV link for 3D ICs.
Microelectron. J., 2016

Impact of data serialization over TSVs on routing congestion in 3D-stacked multi-core processors.
Microelectron. J., 2016

A Fault-Tolerant Ripple-Carry Adder with Controllable-Polarity Transistors.
ACM J. Emerg. Technol. Comput. Syst., 2016

Emerging Technology-Based Design of Primitives for Hardware Security.
ACM J. Emerg. Technol. Comput. Syst., 2016

Co-Design of ReRAM Passive Crossbar Arrays Integrated in 180 nm CMOS Technology.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016

Heuristic NPN Classification for Large Functions Using AIGs and LEXSAT.
Proceedings of the Theory and Applications of Satisfiability Testing - SAT 2016, 2016

Enumeration of Reversible Functions and Its Application to Circuit Complexity.
Proceedings of the Reversible Computation - 8th International Conference, 2016

Inversion optimization in Majority-Inverter Graphs.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Paradigm-Shifting Players for IoT: Smart-Watches for Intensive Care Monitoring.
Proceedings of the Wireless Mobile Communication and Healthcare, 2016

Notes on Majority Boolean Algebra.
Proceedings of the 46th IEEE International Symposium on Multiple-Valued Logic, 2016

Resistance impact by long connections on electrical behavior of integrated Memristive Biosensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Simultaneous monitoring of anesthetics and therapeutic compounds with a portable multichannel potentiostat.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

An integrated platform for differential electrochemical and ISFET sensing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

One-step rapid synthesis of Au-Pt nanofems for electrochemical sensing and biosensing.
Proceedings of the 2016 IEEE SENSORS, Orlando, FL, USA, October 30 - November 3, 2016, 2016

Fast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

SAT-Based Combinational and Sequential Dependency Computation.
Proceedings of the Hardware and Software: Verification and Testing, 2016

Single-FPGA 3D ultrasound beamformer.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Single-FPGA, scalable, low-power, and high-quality 3D ultrasound beamformer.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Fast hierarchical NPN classification.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

A Full-Capacity Local RoutingArchitecture for FPGAs (Abstract Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

Nano-fabricated memristive biosensors for biomedical applications with liquid and dried samples.
Proceedings of the 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2016

Optimizing Majority-Inverter Graphs with functional hashing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

A power-efficient 3-D on-chip interconnect for multi-core accelerators with stacked L2 cache.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

The Programmable Logic-in-Memory (PLiM) computer.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Panel: Looking backwards and forwards.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Exploiting inherent characteristics of reversible circuits for faster combinational equivalence checking.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Demo: Efficient delay and apodization for on-FPGA 3D ultrasound.
Proceedings of the 2016 Conference on Design and Architectures for Signal and Image Processing (DASIP), 2016

An MIG-based compiler for programmable logic-in-memory architectures.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Majority-based synthesis for nanotechnologies.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Cost-Effective Design of Mesh-of-Tree Interconnect for Multicore Clusters With 3-D Stacked L2 Scratchpad Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2015

A Novel FPGA Architecture Based on Ultrafine Grain Reconfigurable Logic Cells.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Layout Technique for Double-Gate Silicon Nanowire FETs With an Efficient Sea-of-Tiles Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2015

New Logic Synthesis as Nanotechnology Enabler.
Proc. IEEE, 2015

A Survey on Low-Power Techniques with Emerging Technologies: From Devices to Systems.
ACM J. Emerg. Technol. Comput. Syst., 2015

FRAME: Fast and Realistic Attacker Modeling and Evaluation for Temporal Logical Correlation in Static Noise.
CoRR, 2015

NEM relay design with biconditional binary decision diagrams.
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

A study on buffer distribution for RRAM-based FPGA routing structures.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

A fast pruning technique for low-power inexact Circuit design.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

Reliable redundancy with memristive-biosensors to achieve statistical significance in immunosensing.
Proceedings of the 6th International Workshop on Advances in Sensors and Interfaces, 2015

On the Design of a Fault Tolerant Ripple-Carry Adder with Controllable-Polarity Transistors.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Exploiting Circuit Duality to Speed up SAT.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Reversible Logic Synthesis via Biconditional Binary Decision Diagrams.
Proceedings of the 2015 IEEE International Symposium on Multiple-Valued Logic, 2015

Full system for translational studies of personalized medicine with free-moving mice.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A neural approach to drugs monitoring for personalized medicine.
Proceedings of the 2015 International Joint Conference on Neural Networks, 2015

FPGA-SPICE: A simulation-based power estimation framework for FPGAs.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Exploiting the Expressive Power of Graphene Reconfigurable Gates via Post-Synthesis Optimization.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Accurate power analysis for near-Vt RRAM-based FPGA.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Towards More Efficient Logic Blocks By Exploiting Biconditional Expansion (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

A surface potential and current model for polarity-controllable silicon nanowire FETs.
Proceedings of the 45th European Solid State Device Research Conference, 2015

Fault modeling in controllable polarity silicon nanowire circuits.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Tackling the bottleneck of delay tables in 3D ultrasound imaging.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

A ultra-low-power FPGA based on monolithically integrated RRAMs.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

The future of electronics, semiconductors, and design in Europe: panel.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Wireless monitoring in intensive care units by a 3D-printed system with embedded electronic.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

Biocompatible packagings for fully implantable multi-panel devices for remote monitoring of metabolism.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

Multiple Independent Gate FETs: How many gates do we need?
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Configurable Circuits Featuring Dual-Threshold-Voltage Design With Three-Independent-Gate Silicon Nanowire FETs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Energy/Reliability Trade-Offs in Low-Voltage ReRAM-Based Non-Volatile Flip-Flop Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

An Integrated Control and Readout Circuit for Implantable Multi-Target Electrochemical Biosensing.
IEEE Trans. Biomed. Circuits Syst., 2014

Full Fabrication and Packaging of an Implantable Multi-Panel Device for Monitoring of Metabolites in Small Animals.
IEEE Trans. Biomed. Circuits Syst., 2014

System Level Benchmarking with Yield-Enhanced Standard Cell Library for Carbon Nanotube VLSI Circuits.
ACM J. Emerg. Technol. Comput. Syst., 2014

Representation of Medical Guidelines with a Computer Interpretable Model.
Int. J. Artif. Intell. Tools, 2014

Biconditional Binary Decision Diagrams: A Novel Canonical Logic Representation Form.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

Novel configurable logic block architecture exploiting controllable-polarity transistors.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

Fast process variation analysis in nano-scaled technologies using column-wise sparse parameter selection.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014

Parallel vs. serial inter-plane communication using TSVs.
Proceedings of the IEEE 5th Latin American Symposium on Circuits and Systems, 2014

Unlocking Controllable-Polarity Transistors Opportunities by Exclusive-OR and Majority Logic Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Temperature-aware runtime power management for chip-multiprocessors with 3-D stacked cache.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

Novel grid-based power routing scheme for regular controllable-polarity FET arrangements.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

TSPC Flip-Flop circuit design with three-independent-gate silicon nanowire FETs.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Memristor-based devices for sensing.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Quantitative estimation of biological cell surface receptors by segmenting conventional fluorescence microscopy images.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

3D serial TSV link for low-power chip-to-chip communication.
Proceedings of the 2014 IEEE International Conference on IC Design & Technology, 2014

A high-performance low-power near-Vt RRAM-based FPGA.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Pattern-based FPGA logic block and clustering algorithm.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

A new basic logic structure for data-path computation (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Electrochemical biochip for applications to wireless and batteryless monitoring of free-moving mice.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

Majority Logic Synthesis for Spin Wave Technology.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Advanced system on a chip design based on controllable-polarity FETs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Panel: Emerging vs. established technologies, a two sphinxes' riddle at the crossroads?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

An efficient manipulation package for Biconditional Binary Decision Diagrams.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Majority-Inverter Graph: A Novel Data-Structure and Algorithms for Efficient Logic Optimization.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Novel readout circuit for memristive biosensors in cancer detection.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

Glucose and lactate monitoring in cell cultures with a wireless android interface.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

Programmable active pixel sensor for low-light biomedical applications.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

A lightweight cryptographic system for implantable biosensors.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

Sub-mW reconfigurable interface IC for electrochemical sensing.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

Live demonstration: A smart camera for real-time monitoring of fluorescent cell biomarkers.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

Live demonstration: In-situ biosensors array for cell culture monitoring.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

Safe Implementation of Embedded Software for a Portable Device Supporting Drug Administration.
Proceedings of the 2014 IEEE International Conference on Bioinformatics and Bioengineering, 2014

Data compression via logic synthesis.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Timing Uncertainty in 3-D Clock Trees Due to Process Variations and Power Supply Noise.
IEEE Trans. Very Large Scale Integr. Syst., 2013

QoS-Driven Reconfigurable Parallel Computing for NoC-Based Clustered MPSoCs.
IEEE Trans. Ind. Informatics, 2013

Designing best effort networks-on-chip to meet hard latency constraints.
ACM Trans. Embed. Comput. Syst., 2013

Power-Gated Differential Logic Style Based on Double-Gate Controllable-Polarity Transistors.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Computing Accurate Performance Bounds for Best Effort Networks-on-Chip.
IEEE Trans. Computers, 2013

A Study of Multi-Layer Spiral Inductors for Remote Powering of Implantable Sensors.
IEEE Trans. Biomed. Circuits Syst., 2013

An integrated, programming model-driven framework for NoC-QoS support in cluster-based embedded many-cores.
Parallel Comput., 2013

An Enhanced Design Methodology for Resonant Clock Trees.
J. Low Power Electron., 2013

Cell transformations and physical design techniques for 3D monolithic integrated circuits.
ACM J. Emerg. Technol. Comput. Syst., 2013

A combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling.
Integr., 2013

A ReRAM-based non-volatile flip-flop with sub-VT read and CMOS voltage-compatible write.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Efficient arithmetic logic gates using double-gate silicon nanowire FETs.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

SATSoT: A methodology to map controllable-polarity devices on a regular fabric using SAT.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Vertically-stacked silicon nanowire transistors with controllable polarity: A robustness study.
Proceedings of the 14th Latin American Test Workshop, 2013

Empirical study of noise dependence in electrochemical sensors.
Proceedings of the 5th IEEE International Workshop on Advances in Sensors and Interfaces, 2013

Runtime 3-D stacked cache management for chip-multiprocessors.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Dual-threshold-voltage configurable circuits with three-independent-gate silicon nanowire FETs.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Self-checking ripple-carry adder with Ambipolar Silicon NanoWire FET.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Characterization of standard CMOS compatible photodiodes and pixels for Lab-on-Chip devices.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

3.5-D integration: A case study.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Parameterized SVM for personalized drug concentration prediction.
Proceedings of the 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2013

A configurable IC to contol, readout, and calibrate an array of biosensors.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

A fast TCAD-based methodology for Variation analysis of emerging nano-devices.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Electronic implants: power delivery and management.
Proceedings of the Design, Automation and Test in Europe, 2013

Vertically-stacked double-gate nanowire FETs with controllable polarity: from devices to regular ASICs.
Proceedings of the Design, Automation and Test in Europe, 2013

Panel: "will 3D-IC remain a technology of the future... even in the future?".
Proceedings of the Design, Automation and Test in Europe, 2013

Biconditional BDD: a novel canonical BDD for logic synthesis targeting XOR-rich circuits.
Proceedings of the Design, Automation and Test in Europe, 2013

Towards structured ASICs using polarity-tunable Si nanowire transistors.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

BDS-MAJ: a BDD-based logic synthesis tool exploiting majority logic decomposition.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Nanogap-based enzymatic-free electrochemical detection of glucose.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

Multi-electrode amperometric biosensor for neurotransmitters detection.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

An implantable bio-micro-system for drug monitoring.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

Fabrication and packaging of a fully implantable biosensor array.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013

MIXSyn: An efficient logic synthesis methodology for mixed XOR-AND/OR dominated circuits.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Online thermal control methods for multiprocessor systems.
ACM Trans. Design Autom. Electr. Syst., 2012

New Approaches for Carbon Nanotubes-Based Biosensors and Their Application to Cell Culture Monitoring.
IEEE Trans. Biomed. Circuits Syst., 2012

Fully Integrated Biochip Platforms for Advanced Healthcare.
Sensors, 2012

Electrochemical Detection of Anti-Breast-Cancer Agents in Human Serum by Cytochrome P450-Coated Carbon Nanotubes.
Sensors, 2012

Multiterminal Memristive Nanowire Devices for Logic and Memory Applications: A Review.
Proc. IEEE, 2012

Inter-Plane Communication Methods for 3-D ICs.
J. Low Power Electron., 2012

Effect of process variations in 3D global clock distribution networks.
ACM J. Emerg. Technol. Comput. Syst., 2012

A Buffer-Sizing Algorithm for Network-on-Chips with Multiple Voltage-Frequency Islands.
J. Electr. Comput. Eng., 2012

Editorial.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

A Self-Contained System With CNTs-Based Biosensors for Cell Culture Monitoring.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

A high-throughput and low-latency interconnection network for multi-core Clusters with 3-D stacked L2 tightly-coupled data memory.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

GMS: Generic memristive structure for non-volatile FPGAs.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

Configurable Low-Latency Interconnect for Multi-core Clusters.
Proceedings of the VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design, 2012

3D-LIN: A configurable low-latency interconnect for multi-core clusters with 3D stacked L1 memory.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

Process/design co-optimization of regular logic tiles for double-gate silicon nanowire transistors.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

The combined effect of process variations and power supply noise on clock skew and jitter.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Quantitative comparison of commercial CCD and custom-designed CMOS camera for biological applications.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Enhanced wafer matching heuristics for 3-D ICs.
Proceedings of the 17th IEEE European Test Symposium, 2012

TAT-based formal representation of medical guidelines: Imatinib case-study.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

Voltage propagation method for 3-D power grid analysis.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Integrated biosensors for personalized medicine.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Physical synthesis onto a Sea-of-Tiles with double-gate silicon nanowire transistors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

A distributed interleaving scheme for efficient access to WideIO DRAM memory.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

A current-mode potentiostat for multi-target detection tested with different lactate biosensors.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

Quantification of sub-resolution sized targets in cell fluorescent imaging.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

Design, fabrication, and test of a sensor array for perspective biosensing in chronic pathologies.
Proceedings of the 2012 IEEE Biomedical Circuits and Systems Conference, 2012

A Drug Administration Decision Support System.
Proceedings of the 2012 IEEE International Conference on Bioinformatics and Biomedicine Workshops, 2012

Medical guidelines reconciling medical software and electronic devices: Imatinib case-study.
Proceedings of the 12th IEEE International Conference on Bioinformatics & Bioengineering, 2012

Engineering complex systems for health, security and the environment.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
3D Network on Chip Topology Synthesis: Designing Custom Topologies for Chip Stacks.
Proceedings of the 3D Integration for NoC-based SoC Architectures, 2011

An Efficient Gate Library for Ambipolar CNTFET Logic.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Hierarchical Thermal Management Policy for High-Performance 3D Systems With Liquid Cooling.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

HW-SW implementation of a decoupled FPU for ARM-based Cortex-M1 SoCs in FPGAs.
Proceedings of the Industrial Embedded Systems (SIES), 2011

Convex-Based Thermal Management for 3D MPSoCs Using DVFS and Variable-Flow Liquid Cooling.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

Design of Resonant Clock Distribution Networks for 3-D Integrated Circuits.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

A DRAM Centric NoC Architecture and Topology Design Approach.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

A Simulation Based Buffer Sizing Algorithm for Network on Chips.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

pH sensing with temperature compensation in a Molecular Biosensor for drugs detection.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Thermal-aware system-level modeling and management for Multi-Processor Systems-on-Chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Skew variability in 3-D ICs with multiple clock domains.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Alternative design methodologies for the next generation logic switch.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

PRO3D, Programming for Future 3D Manycore Architectures: Project's Interim Status.
Proceedings of the Formal Methods for Components and Objects, 10th International Symposium, 2011

Example-based support vector machine for drug concentration analysis.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Analytical heat transfer model for thermal through-silicon vias.
Proceedings of the Design, Automation and Test in Europe, 2011

An integrated platform for advanced diagnostics.
Proceedings of the Design, Automation and Test in Europe, 2011

Logic synthesis and physical design: Quo vadis?
Proceedings of the Design, Automation and Test in Europe, 2011

Personalized modeling for drug concentration prediction using Support Vector Machine.
Proceedings of the 4th International Conference on Biomedical Engineering and Informatics, 2011

CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

Design and Analysis of NoCs for Low-Power 2D and 3D SoCs.
Proceedings of the Low Power Networks-on-Chip., 2011

2010
Comparative Analysis of NoCs for Two-Dimensional Versus Three-Dimensional SoCs Supporting Multiple Voltage and Frequency Islands.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

SunFloor 3D: A Tool for Networks on Chip Topology Synthesis for 3-D Systems on Chips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Capacitance DNA bio-chips improved by new probe immobilization strategies.
Microelectron. J., 2010

Process-induced skew variation for scaled 2-D and 3-D ICs.
Proceedings of the International Workshop on System Level Interconnect Prediction Workshop, 2010

Regular fabric design with ambipolar CNTFETs for FPGA and structured ASIC applications.
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010

Multicore thermal management using approximate explicit model predictive control.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Temperature sensor placement in thermal management systems for MPSoCs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Design aspects of carry lookahead adders with vertically-stacked nanowire transistors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Memristive devices fabricated with silicon nanowire schottky barrier transistors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Design of a CNFET array for sensing and control in P450 based biochips for multiple drug detection.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Characterization of memristive Poly-Si Nanowires via empirical physical modelling.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Physical design tradeoffs in power distribution networks for 3-D ICs.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Synthesis of regular computational fabrics with ambipolar CNTFET technology.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Online convex optimization-based algorithm for thermal management of MPSoCs.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

A method to remove deadlocks in Networks-on-Chips with Wormhole flow control.
Proceedings of the Design, Automation and Test in Europe, 2010

Power consumption of logic circuits in ambipolar carbon nanotube technology.
Proceedings of the Design, Automation and Test in Europe, 2010

Panel: First commandment at least, do nothing well!
Proceedings of the Design, Automation and Test in Europe, 2010

Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement.
Proceedings of the 47th Design Automation Conference, 2010

Networks on Chips: from research to products.
Proceedings of the 47th Design Automation Conference, 2010

Exploring programming model-driven QoS support for NoC-based platforms.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

Methods for Designing Reliable Probe Arrays.
Proceedings of the 10th IEEE International Conference on Bioinformatics and Bioengineering, 2010

Design of networks on chips for 3D ICs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Synchronization and power integrity issues in 3-D ICs.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

Performance analysis of 3-D monolithic integrated circuits.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

2009
Processor Speed Control With Thermal Constraints.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Thermal Balancing Policy for Multiprocessor Stream Computing Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

An Outlook on Design Technologies for Future Integrated Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Modeling stochasticity and robustness in gene regulatory networks.
Bioinform., 2009

Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits.
Proceedings of the Nano-Net - 4th International ICST Conference, 2009

Quantum Dots and Wires to Improve Enzymes-Based Electrochemical Bio-sensing.
Proceedings of the Nano-Net - 4th International ICST Conference, 2009

A method for calculating hard QoS guarantees for Networks-on-Chip.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

An Analytical Model for the Contention Access Period of the Slotted IEEE 802.15.4 with Service Differentiation.
Proceedings of IEEE International Conference on Communications, 2009

Power distribution paths in 3-D ICS.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Multicore thermal management with model predictive control.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips.
Proceedings of the Design, Automation and Test in Europe, 2009

Physically clustered forward body biasing for variability compensation in nanometer CMOS design.
Proceedings of the Design, Automation and Test in Europe, 2009

Novel library of logic gates with ambipolar CNTFETs: Opportunities for multi-level logic synthesis.
Proceedings of the Design, Automation and Test in Europe, 2009

Panel session - Consolidation, a modern "Moor of Venice" tale.
Proceedings of the Design, Automation and Test in Europe, 2009

Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis.
Proceedings of the Design, Automation and Test in Europe, 2009

NoC topology synthesis for supporting shutdown of voltage islands in SoCs.
Proceedings of the 46th Design Automation Conference, 2009

Decoding nanowire arrays fabricated with the multi-spacer patterning technique.
Proceedings of the 46th Design Automation Conference, 2009

Complete nanowire crossbar framework optimized for the multi-spacer patterning technique.
Proceedings of the 2009 International Conference on Compilers, 2009

Implementation of an Automated ECG-based Diagnosis Algorithm for a Wireless Body Sensor Plataform.
Proceedings of the BIODEVICES 2009, 2009

A control theory approach for thermal balancing of MPSoC.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Synthesis of networks on chips for 3D systems on chips.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

A stochastic perturbative approach to design a defect-aware thresholder in the sense amplifier of crossbar memories.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

Networks-on-Chip: an Interconnect Fabric for Multiprocessor Systems-on-Chip.
Proceedings of the Embedded Systems Design and Verification, 2009

2008
Variability-Aware Design of Multilevel Logic Decoders for Nanoscale Crossbar Memories.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures.
Integr., 2008

Network-on-Chip design and synthesis outlook.
Integr., 2008

On-chip implementation of multiprocessor networks and switch fabrics.
Int. J. Embed. Syst., 2008

Designing Micro- and Nanosystems for a Safer and Healthier Tomorrow.
IEEE Des. Test Comput., 2008

Joint co-clustering: Co-clustering of genomic and clinical bioimaging data.
Comput. Math. Appl., 2008

Synchronous versus asynchronous modeling of gene regulatory networks.
Bioinform., 2008

Stochastic modeling and analysis for environmentally powered wireless sensor nodes.
Proceedings of the 6th International Symposium on Modeling and Optimization in Mobile, 2008

A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication.
Proceedings of the VLSI-SoC: Design Methodologies for SoC and SiP, 2008

System-level design technologies for heterogeneous distributed systems.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

OS-Based Sensor Node Platform and Energy Estimation Model for Health-Care Wireless Sensor Networks.
Proceedings of the Design, Automation and Test in Europe, 2008

Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization.
Proceedings of the Design, Automation and Test in Europe, 2008

Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008

Designing Micro/Nano Systems for a Safer and Healthier Tomorrow.
Proceedings of the Design, Automation and Test in Europe, 2008

Programmable logic circuits based on ambipolar CNFET.
Proceedings of the 45th Design Automation Conference, 2008

Reliability-aware design for nanometer-scale devices.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees.
VLSI Design, 2007

Power and Reliability Management of SoCs.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2007

HW-SW emulation framework for temperature-aware design in MPSoCs.
ACM Trans. Design Autom. Electr. Syst., 2007

Co-clustering: A Versatile Tool for Data Analysis in Biomedical Informatics.
IEEE Trans. Inf. Technol. Biomed., 2007

Reconfiguration Strategies for Environmentally Powered Devices: Theoretical Analysis and Experimental Validation.
Trans. High Perform. Embed. Archit. Compil., 2007

Timing-Error-Tolerant Network-on-Chip Design Methodology.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

An Application-Specific Design Methodology for On-Chip Crossbar Generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Bringing NoCs to 65 nm.
IEEE Micro, 2007

Clustering protein environments for function prediction: finding PROSITE motifs in 3D.
BMC Bioinform., 2007

Dynamic simulation of regulatory networks using SQUAD.
BMC Bioinform., 2007

Early wire characterization for predictable network-on-chip global interconnects.
Proceedings of the Ninth International Workshop on System-Level Interconnect Prediction (SLIP 2007), 2007

An Efficient Method for Dynamic Analysis of Gene Regulatory Networks and <i>in silico</i> Gene Perturbation Experiments.
Proceedings of the Research in Computational Molecular Biology, 2007

NoC Design and Implementation in 65nm Technology.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

Design Technologies for Networks on Chips.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

MiGra: A Task Migration Algorithm for Reducing Temperature Gradient in Multiprocessor Systems on Chip.
Proceedings of the International Symposium on System-on-Chip, 2007

Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

New Design Paradigms: New Architectures for New technologies.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

System-Level Design for Nano-Electronics.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Fault-tolerant multi-level logic decoder for nanoscale crossbar memory arrays.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Multi-processor operating system emulation framework with thermal feedback for systems-on-chip.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Interactive presentation: Improving the fault tolerance of nanometric PLA designs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Temperature-aware processor frequency assignment for MPSoCs using convex optimization.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

2006
A Pattern-Mining Method for High-Throughput Lab-on-a-Chip Data Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Analysis and Optimization of MPSoC Reliability.
J. Low Power Electron., 2006

A Complete Multi-Processor System-on-Chip FPGA-Based Emulation Framework.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Designing Routing and Message-Dependent Deadlock Free Networks on Chips.
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006

Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Nanoelectronics: Challenges and Opportunities.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

Compiler-Driven Leakage Energy Reduction in Banked Register Files.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006

Routing Aware Switch Hardware Customization for Networks on Chips.
Proceedings of the 1st International ICST Conference on Nano-Networks, 2006

Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission Mechanism for Networks on Chips.
Proceedings of the International Symposium on System-on-Chip, 2006

Mining Gene Sets for Measuring Similarities.
Proceedings of the 11th IEEE Symposium on Computers and Communications (ISCC 2006), 2006

Reliability Support for On-Chip Memories Using Networks-on-Chip.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Designing application-specific networks on chips with floorplan information.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

A simulation methodology for reliability analysis in multi-core SoCs.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Exploiting Binary Abstractions in Deciphering Gene Interactions.
Proceedings of the 28th International Conference of the IEEE Engineering in Medicine and Biology Society, 2006

A methodology for mapping multiple use-cases onto networks on chips.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip.
Proceedings of the 43rd Design Automation Conference, 2006

A fast HW/SW FPGA-based thermal emulation framework for multi-processor system-on-chip.
Proceedings of the 43rd Design Automation Conference, 2006

A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

An opportunistic reconfiguration strategy for environmentally powered devices.
Proceedings of the Third Conference on Computing Frontiers, 2006

Computer-Aided Evaluation of Protein Expression in Pathological Tissue Images.
Proceedings of the 19th IEEE International Symposium on Computer-Based Medical Systems (CBMS 2006), 2006

Mapping and configuration methods for multi-use-case networks on chips.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

Networks on chips - technology and tools.
The Morgan Kaufmann series in systems on silicon, Elsevier Morgan Kaufmann, ISBN: 978-0-12-370521-1, 2006

2005
Network-on-Chip Design for Gigascale Systems-on-Chip.
Proceedings of the Embedded Systems Handbook., 2005

A robust self-calibrating transmission scheme for on-chip networks.
IEEE Trans. Very Large Scale Integr. Syst., 2005

NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip.
IEEE Trans. Parallel Distributed Syst., 2005

Discovering Coherent Biclusters from Gene Expression Data Using Zero-Suppressed Binary Decision Diagrams.
IEEE ACM Trans. Comput. Biol. Bioinform., 2005

Error control schemes for on-chip communication links: the energy-reliability tradeoff.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Design, Synthesis, and Test of Networks on Chips.
IEEE Des. Test Comput., 2005

Analysis of Error Recovery Schemes for Networks on Chips.
IEEE Des. Test Comput., 2005

IEEE Council for Electronic Design Automation: A new beginning.
IEEE Des. Test Comput., 2005

Guest Editors' Introduction: The Network-on-Chip Paradigm in Practice and Research.
IEEE Des. Test Comput., 2005

Optimization of Reliability and Power Consumption in Systems on a Chip.
Proceedings of the Integrated Circuit and System Design, 2005

Exploration and Tuning of Custom NoC Topologies Using an FPGA-Based Framework.
Proceedings of the Parallel Computing: Current & Future Issues of High-End Computing, 2005

Self-calibrating networks-on-chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A novel approach for network on chip emulation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Plenary lecture [Second page is blank].
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

Prediction of regulatory modules comprising microRNAs and target genes.
Proceedings of the ECCB/JBI'05 Proceedings, Fourth European Conference on Computational Biology/Sixth Meeting of the Spanish Bioinformatics Network (Jornadas de BioInformática), Palacio de Congresos, Madrid, Spain, September 28, 2005

xpipes Lite: A Synthesis Oriented Design Library For Networks on Chips.
Proceedings of the 2005 Design, 2005

An Application-Specific Design Methodology for STbus Crossbar Generation.
Proceedings of the 2005 Design, 2005

A Complete Network-On-Chip Emulation Framework.
Proceedings of the 2005 Design, 2005

Performance driven reliable link design for networks on chips.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Network On-Chip Design for Gigascale Systems-on-Chip.
Proceedings of the Industrial Information Technology Handbook, 2005

2004
Specification and analysis of power-managed systems.
Proc. IEEE, 2004

Packetization and routing analysis of on-chip multiprocessor networks.
J. Syst. Archit., 2004

On-Chip Self-Calibrating Communication Techniques Robust to Electrical Parameter Variations.
IEEE Des. Test Comput., 2004

Reliability and Power Management of Integrated Systems.
Proceedings of the 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August, 2004

Bandwidth-Constrained Mapping of Cores onto NoC Architectures.
Proceedings of the 2004 Design, 2004

×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip.
Proceedings of the 2004 Design, 2004

SUNMAP: a tool for automatic topology selection and generation for NoCs.
Proceedings of the 41th Design Automation Conference, 2004

Reliable communication in systems on chips.
Proceedings of the 41th Design Automation Conference, 2004

Enhanced pClustering and Its Applications to Gene Expression Data.
Proceedings of the 4th IEEE International Symposium on BioInformatics and BioEngineering (BIBE 2004), 2004

Energy-Efficient Network-On-Chip Design.
Proceedings of the Ultra Low-Power Electronics and Design, 2004

2003
Complex instruction and software library mapping for embedded software using symbolic algebra.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Application of symbolic computer algebra in high-level data-flow synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

CASS Brings Publishing to Its DAC Partnership.
IEEE Des. Test Comput., 2003

Heterogeneous Wireless Network Management.
Proceedings of the Power-Aware Computer Systems, Third International Workshop, 2003

Robust System Design with Uncertain Information.
Proceedings of the 1st ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2003), 2003

Packetized On-Chip Interconnect Communication Analysis for MPSoC.
Proceedings of the 2003 Design, 2003

Physical Planning for On-Chip Multiprocessor Networks and Switch Fabrics.
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003

Automatic Instruction Set Extension and Utilization for Embedded Processors.
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003

Energy-Reliability trade-Off for NoCs.
Proceedings of the Networks on Chip, 2003

2002
Power-aware operating systems for interactive systems.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Dynamic frequency scaling with buffer insertion for mixed workloads.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Value-sensitive automatic code specialization for embedded software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Dynamic Power Management for Nonstationary Service Requests.
IEEE Trans. Computers, 2002

Networks on Chips: A New SoC Paradigm.
Computer, 2002

An Adaptive Low-Power Transmission Scheme for On-Chip Networks.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Contents provider-assisted dynamic voltage scaling for low energy multimedia applications.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Physical synthesis for ASIC datapath circuits.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Low Power Embedded Software Optimization Using Symbolic Algebra.
Proceedings of the 2002 Design, 2002

Networks on Chip: A New Paradigm for Systems on Chip Design.
Proceedings of the 2002 Design, 2002

Low Power Error Resilient Encoding for On-Chip Data Buses.
Proceedings of the 2002 Design, 2002

Analysis of power consumption on switch fabrics in network routers.
Proceedings of the 39th Design Automation Conference, 2002

Complex library mapping for embedded software using symbolic algebra.
Proceedings of the 39th Design Automation Conference, 2002

Readings in hardware / software co-design.
Morgan Kaufmann, ISBN: 978-1-55860-702-6, 2002

2001
Polynomial circuit models for component matching in high-level synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Energy-efficient design of battery-powered embedded systems.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Synthesis of hardware models in C with pointers and complex data structures.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Event-driven power management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Resolution, optimization, and encoding of pointer variables for thebehavioral synthesis from C.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Synthesis of power-managed sequential components based oncomputational kernel extraction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Comparing System-Level Power Management Policies.
IEEE Des. Test Comput., 2001

Cache-efficient memory layout of aggregate data structures.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Source code transformation based on software cost analysis.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Powering Networks on Chips: Energy-Efficient and Reliable Interconnect Design for SoCs.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001

Automatic source code specialization for energy reduction.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

Symbolic Algebra and Timing Driven Data-flow Synthesis.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Component selection and matching for IP-based design.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

Dynamic Voltage Scaling and Power Management for Portable Systems.
Proceedings of the 38th Design Automation Conference, 2001

Using Symbolic Algebra in Algorithmic Level DSP Synthesis.
Proceedings of the 38th Design Automation Conference, 2001

2000
Glitch power minimization by selective gate freezing.
IEEE Trans. Very Large Scale Integr. Syst., 2000

A survey of design techniques for system-level dynamic power management.
IEEE Trans. Very Large Scale Integr. Syst., 2000

Regression-based RTL power modeling.
ACM Trans. Design Autom. Electr. Syst., 2000

Synthesis of low-power selectively-clocked systems from high-level specification.
ACM Trans. Design Autom. Electr. Syst., 2000

System-level power optimization: techniques and tools.
ACM Trans. Design Autom. Electr. Syst., 2000

A multilevel engine for fast power simulation of realistic inputstreams.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Hardware/Software Co-Design of Run-Time Schedulers for Real-Time Systems.
Des. Autom. Embed. Syst., 2000

Dynamic power management for portable systems.
Proceedings of the MOBICOM 2000, 2000

Source Code Optimization and Profiling of Energy Consumption in Embedded Systems.
Proceedings of the 13th International Symposium on System Synthesis, 2000

Requester-Aware Power Reduction.
Proceedings of the 13th International Symposium on System Synthesis, 2000

Energy efficient design of portable wireless systems.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Do our low-power tools have enough horse power? (panel session) (title only).
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Operating-system directed power reduction.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Data Path Placement with Regularity.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Dynamic Power Management of Laptop Hard Disk.
Proceedings of the 2000 Design, 2000

Resolution of Dynamic Memory Allocation and Pointers for the Behavioral Synthesis from C.
Proceedings of the 2000 Design, 2000

Quantitative Comparison of Power Management Algorithms.
Proceedings of the 2000 Design, 2000

Low-power task scheduling for multiple devices.
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000

1999
Symbolic synthesis of clock-gating logic for power optimization of synchronous controllers.
ACM Trans. Design Autom. Electr. Syst., 1999

Policy optimization for dynamic power management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting.
IEEE Trans. Computers, 1999

Reducing switching activity on datapath buses with control-signal gating.
IEEE J. Solid State Circuits, 1999

Event-Driven Power Management of Portable Systems.
Proceedings of the 12th International Symposium on System Synthesis, 1999

Efficient switching activity computation during high-level synthesis of control-dominated designs.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999

Dynamic power management using adaptive learning tree.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Adaptive Hard Disk Power Management on Personal Computers.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

Polynomial Methods for Allocating Complex Components.
Proceedings of the 1999 Design, 1999

Hardware Synthesis from C/C++ Models.
Proceedings of the 1999 Design, 1999

Dynamic Power Management for non-stationary service requests.
Proceedings of the 1999 Design, 1999

Glitch Power Minimization by Gate Freezing.
Proceedings of the 1999 Design, 1999

Cycle-Accurate Simulation of Energy Consumption in Embedded Systems.
Proceedings of the 36th Conference on Design Automation, 1999

Kernel-Based Power Optimization of RTL Components: Exact and Approximate Extraction Algorithms.
Proceedings of the 36th Conference on Design Automation, 1999

Software controlled power management.
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999

1998
Power optimization of core-based systems by address bus encoding.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Iterative remapping for logic circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Telescopic units: a new paradigm for performance optimization of VLSI designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Regression Models for Behavioral Power Estimation.
Integr. Comput. Aided Eng., 1998

Polynomial methods for component matching and verification.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

SpC: synthesis of pointers in C: application of pointer analysis to the behavioral synthesis from C.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Finding all simple disjunctive decompositions using irredundant sum-of-products forms.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Dynamic power management of electronic systems.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Reducing Power Consumption of Dedicated Processors Through Instruction Set Encoding.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

Timed Supersetting and the Synthesis of Telescopic Units.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

Acceleration of Satisfiability Algorithms by Reconfigurable Hardware.
Proceedings of the Field-Programmable Logic and Applications, 1998

Hardware-Softw are Run-Time Systems and Robotics: A Case Study Vincent John Mooney III.
Proceedings of the 24th EUROMICRO '98 Conference, 1998

Characterization-Free Behavioral Power Modeling.
Proceedings of the 1998 Design, 1998

Address Bus Encoding Techniques for System-Level Power Optimization.
Proceedings of the 1998 Design, 1998

Automated Composition of Hardware Components.
Proceedings of the 35th Conference on Design Automation, 1998

Computational Kernels and their Application to Sequential Power Optimization.
Proceedings of the 35th Conference on Design Automation, 1998

Dynamic power management - design techniques and CAD tools.
Kluwer, ISBN: 978-0-7923-8086-3, 1998

1997
Clock Skew Optimization for Peak Current Reduction.
J. VLSI Signal Process., 1997

Gate-level power and current simulation of CMOS integrated circuits.
IEEE Trans. Very Large Scale Integr. Syst., 1997

A survey of Boolean matching techniques for library binding.
ACM Trans. Design Autom. Electr. Syst., 1997

Specification and analysis of timing constraints for embedded systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Constrained software synthesis for embedded applications.
J. Syst. Archit., 1997

Re-mapping for low power under tight timing constraints.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

System-level power optimization of special purpose applications: the beach solution.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Generalized matching from theory to application.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

Real time analysis and priority scheduler generation for hardware-software systems with a synthesized run-time system.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

Fast power estimation for deterministic input streams.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

Adaptive least mean square behavioral power modeling.
Proceedings of the European Design and Test Conference, 1997

Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks.
Proceedings of the European Design and Test Conference, 1997

1996
Scheduling and control generation with environmental constraints based on automata representations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Analysis and synthesis of concurrent digital circuits using control-flow expressions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Automatic synthesis of low-power gated-clock finite-state machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

A co-synthesis approach to embedded system design automation.
Des. Autom. Embed. Syst., 1996

Synthesis of Low-Power Selectively-Clocked Systems from High-Level Specification.
Proceedings of the 9th International Symposium on System Synthesis, 1996

Gate-level current waveform simulation of CMOS integrated circuits.
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996

Distributed EDA Tool Integration: The PPP Paradigm.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Synthesis from mixed specifications.
Proceedings of the conference on European design automation, 1996

Design for Testability of Gated-Clock FSMs.
Proceedings of the 1996 European Design and Test Conference, 1996

1995
State assignment for low power dissipation.
IEEE J. Solid State Circuits, March, 1995

Optimization of combinational logic circuits based on compatible gates.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Transformation and synthesis of FSMs for low-power gated-clock implementation.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

1994
Computer-aided hardware-software codesign.
IEEE Micro, 1994

Saving Power by Synthesizing Gated Clocks for Sequential Circuits.
IEEE Des. Test Comput., 1994

Program Implementation Schemes for Hardware-Software Systems.
Computer, 1994

A Synthesis Framework Based on Trace and Automata Theory.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Decomposition methods for library binding of speed-independent asynchronous designs.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Dynamic scheduling and synchronization synthesis of concurrent digital systems under system-level constraints.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Scheduling with Environmental Constraints based on Automata Representations.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

Constrained software generation for hardware-software systems.
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994

Redesigning hardware-software systems.
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994

1993
Interface optimization for concurrent systems under timing constraints.
IEEE Trans. Very Large Scale Integr. Syst., 1993

Designing high-performance digital circuits using wave pipelining: algorithms and practical experiences.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Algorithms for technology mapping based on binary decision diagrams and on Boolean operations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Don't care set specifications in combinational and synchronous logic circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Hardware-Software Cosynthesis for Digital Systems.
IEEE Des. Test Comput., 1993

High-Level Synthesis of Digital Circuits.
Adv. Comput., 1993

Modeling hierarchical combinational circuits.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Automatic Technology Mapping for Generalized Fundamental-Mode Asynchronous Designs.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
Relative scheduling under timing constraints: algorithms for high-level synthesis of digital circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

Optimizing the control-unit through the resynchronization of operations.
Integr., 1992

Synthesis and Simulation of Digital Systems Containing Interacting Hardware and Software Components.
Proceedings of the 29th Design Automation Conference, 1992

Recurrence Equations and the Optimization of Synchronous Logic Circuits.
Proceedings of the 29th Design Automation Conference, 1992

1991
Synchronous logic synthesis: algorithms for cycle-time minimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Optimal synthesis of control logic from behavioral specifications.
Integr., 1991

Constrained resource sharing and conflict resolution in Hebe.
Integr., 1991

Technology mapping for a two-output RAM-based field programmable gate array.
Proceedings of the conference on European design automation, 1991

Control Optimization Based on Resynchronization of Operations.
Proceedings of the 28th Design Automation Conference, 1991

Technology Mapping for Electrically Programmable Gate Arrays.
Proceedings of the 28th Design Automation Conference, 1991

1990
The Olympus Synthesis System.
IEEE Des. Test Comput., 1990

Guest Editorial: High-Level Synthesis of Digital Circuits.
IEEE Des. Test Comput., 1990

Partitioning of Functional Models of Synchronous Digital Systems.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Observability Don't Care Sets and Boolean Relations.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Technology mapping using boolean matching and don't care sets.
Proceedings of the European Design Automation Conference, 1990

Relative Scheduling Under Timing Constraints.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990

1989
Inserting active delay elements to achieve wave pipelining.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

1988
Automatic layout and optimization of static CMOS cells.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

Approaching a nanosecond: a 32 bit adder.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

HERCULES - a System for High-Level Synthesis.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1987
Performance-Oriented Synthesis of Large-Scale Domino CMOS Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987

1986
Correction to "Optimal State Assignment for Finite State Machines".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986

Symbolic Design of Combinational and Sequential Logic Circuits Implemented by Two-Level Logic Macros.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986

1985
Optimal State Assignment for Finite State Machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1985

1984
Correction to "Multiple Constrained Folding of Programmable Logic Arrays: Theory and Applications".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1984

1983
Multiple Constrained Folding of Programmable Logic Arrays: Theory and Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1983

Symmetric Displacement Algorithms for the Timing Analysis of Large Scale Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1983

PLEASURE: a computer program for simple/multiple constrained/unconstrained folding of Programmable Logic Arrays.
Proceedings of the 20th Design Automation Conference, 1983


  Loading...