Pierre-Emmanuel Gaillardon

Orcid: 0000-0003-3634-3999

According to our database1, Pierre-Emmanuel Gaillardon authored at least 175 papers between 2009 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Secure eFPGA Configuration: A System-Level Approach.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2024

2023
Not All Fabrics Are Created Equal: Exploring eFPGA Parameters for IP Redaction.
IEEE Trans. Very Large Scale Integr. Syst., October, 2023

CoMeFa: Deploying Compute-in-Memory on FPGAs for Deep Learning Acceleration.
ACM Trans. Reconfigurable Technol. Syst., September, 2023

A Scalable and Area-Efficient Configuration Circuitry for Semi-Custom FPGA Design.
IEEE Trans. Very Large Scale Integr. Syst., August, 2023

Smart-Redundancy With In Memory ECC Checking: Low-Power SEE-Resistant FPGA Architectures.
IEEE Trans. Very Large Scale Integr. Syst., August, 2023

FlowTune: End-to-End Automatic Logic Optimization Exploration via Domain-Specific Multiarmed Bandit.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., June, 2023

Low Latency SEU Detection in FPGA CRAM With In-Memory ECC Checking.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2023

NSF Integrated Circuit Research, Education and Workforce Development Workshop Final Report.
CoRR, 2023

Performance Optimized Clock Tree Embedding for Auto-Generated FPGAs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

Open-source and FPGAs: Hardware, Software, Both or None?
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

2022
University of Utah AirU Pollution Monitoring Network - Salt Lake City UT - 2019-07-26 - 2021-05-14.
Dataset, January, 2022

Programmable Local Clock SET Filtering for SEE-Resistant FPGA.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Two-Level Approximate Logic Synthesis Combining Cube Insertion and Removal.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

End-to-end Automatic Logic Optimization Exploration via Domain-specific Multi-armed Bandit.
CoRR, 2022

An Energy-Efficient Three-Independent-Gate FET Cell Library for Low-Power Edge Computing.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

An Open-source Three-Independent-Gate FET Standard Cell Library for Mixed Logic Synthesis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Programmable logic elements using multigate ambipolar transistors.
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

ALICE: an automatic design flow for eFPGA redaction.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Improving LUT-based optimization for ASICs.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Tiny Time-Series Transformers: Realtime Multi-Target Sensor Inference At The Edge.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2022

2021
multiPULPly: A Multiplication Engine for Accelerating Neural Networks on Ultra-low-power Architectures.
ACM J. Emerg. Technol. Comput. Syst., 2021

Guest Editors' Introduction: The Resurgence of Open- Source EDA Technology.
IEEE Des. Test, 2021

A 12-pA Resolution Sigma Delta ADC Topology for Chemiresistive Sensor-Based Applications.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

A First Approach in Using Super-Steep-Subthreshold-Slope Field-Effect Transistors in Ultra-Low Power Analog Design.
Proceedings of the VLSI-SoC: Technology Advancement on SoC Design, 2021

A Novel High-Gain Amplifier Circuit Using Super-Steep-Subthreshold-Slope Field-Effect Transistors.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

A Scalable and Robust Hierarchical Floorplanning to Enable 24-hour Prototyping for 100k-LUT FPGAs.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Area-Efficient Multiplier Designs Using a 3D Nanofabric Process Flow.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Smart-Redundancy: An Alternative SEU/SET Mitigation Method for FPGAs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Exploring eFPGA-based Redaction for IP Protection.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Taping out an FPGA in 24 hours with OpenFPGA: The SOFA Project.
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021


A Deep Learning Approach to Sensor Fusion Inference at the Edge.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Invited: Getting the Most out of your Circuits with Heterogeneous Logic Synthesis.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

SLAP: A Supervised Learning Approach for Priority Cuts Technology Mapping.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Read your Circuit: Leveraging Word Embedding to Guide Logic Optimization.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

NEMO-CNN: An Efficient Near-Memory Accelerator for Convolutional Neural Networks.
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

2020
OpenFPGA: An Open-Source Framework for Agile Prototyping Customizable FPGAs.
IEEE Micro, 2020

Exact Benchmark Circuits for Logic Synthesis.
IEEE Des. Test, 2020

Extending Boolean Methods for Scalable Logic Synthesis.
IEEE Access, 2020

3D Nanofabric: Layout Challenges and Solutions for Ultra-scaled Logic Designs.
Proceedings of the VLSI-SoC: Design Trends, 2020

Layout Considerations of Logic Designs Using an N-layer 3D Nanofabric Process Flow.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

SpinalFlow: An Architecture and Dataflow Tailored for Spiking Neural Networks.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

A RRAM-based FPGA for Energy-efficient Edge Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

A Novel TIGFET-based DFF Design for Improved Resilience to Power Side-Channel Attacks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

A Scalable Mixed Synthesis Framework for Heterogeneous Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
FPGA-SPICE: A Simulation-Based Architecture Evaluation Framework for FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Devices and Circuits Using Novel 2-D Materials: A Perspective for Future VLSI Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2019

A Robust Digital RRAM-Based Convolutional Block for Low-Power Image Processing and Learning Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Distributed Low-Cost Pollution Monitoring Platform.
IEEE Internet Things J., 2019

Accelerating Inference on Binary Neural Networks with Digital RRAM Processing.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

A Predictive Process Design Kit for Three-Independent-Gate Field-Effect Transistors.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

Functionality Enhanced Memories for Edge-AI Embedded Systems.
Proceedings of the 19th Non-Volatile Memory Technology Symposium, 2019

GenCache: Leveraging In-Cache Operators for Efficient Sequence Alignment.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Wire-Aware Architecture and Dataflow for CNN Accelerators.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Improving Logic Optimization in Sequential Circuits using Majority-inverter Graphs.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

A Study on Switch Block Patterns for Tileable FPGA Routing Architectures.
Proceedings of the International Conference on Field-Programmable Technology, 2019

A Recursive Approach to Partially Blind Calibration of a Pollution Sensor Network.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

LSOracle: a Logic Synthesis Framework Driven by Artificial Intelligence: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

OpenFPGA: An Opensource Framework Enabling Rapid Prototyping of Customizable FPGAs.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Scalable Boolean Methods in a Modern Synthesis Flow.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Rebooting Our Computing Models.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Memory Effects in Multi-terminal Solid State Devices and Their Applications.
Proceedings of the Handbook of Memristor Networks., 2019

A Taxonomy and Evaluation Framework for Memristive Logic.
Proceedings of the Handbook of Memristor Networks., 2019

2018
Guest Editorial Memristive-Device-Based Computing.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Logic Synthesis for RRAM-Based In-Memory Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Post-P&R Performance and Power Analysis for RRAM-Based FPGAs.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Differential Power Analysis Mitigation Technique Using Three-Independent-Gate Field Effect Transistors.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

An Efficient Adder Architecture with Three- Independent-Gate Field-Effect Transistors.
Proceedings of the 2018 IEEE International Conference on Rebooting Computing, 2018

Emerging reconfigurable nanotechnologies: can they support future electronics?
Proceedings of the International Conference on Computer-Aided Design, 2018

Doping-free complementary inverter enabled by 2D WSe2 electrostatically-doped reconfigurable transistors.
Proceedings of the 76th Device Research Conference, 2018

Practical challenges in delivering the promises of real processing-in-memory machines.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Towards high-performance polarity-controllable FETs with 2D materials.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
A High-Performance FPGA Architecture Using One-Level RRAM-Based Multiplexers.
IEEE Trans. Emerg. Top. Comput., 2017

Circuit Designs of High-Performance and Low-Power RRAM-Based Multiplexers Based on 4T(ransistor)1R(RAM) Programming Structure.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Exact Synthesis of Majority-Inverter Graphs and Its Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

A PLiM Computer for the Internet of Things.
Computer, 2017

Memristive logic: A framework for evaluation and comparison.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

Low-power multiplexer designs using three-independent-gate field effect transistors.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

Optimization opportunities in RRAM-based FPGA architectures.
Proceedings of the 8th IEEE Latin American Symposium on Circuits & Systems, 2017

Physical Design Considerations of One-level RRAM-based Routing Multiplexers.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

RM3 based logic synthesis (Special session paper).
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

An efficient electronic measurement interface for memristive biosensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Design methodology for area and energy efficient OxRAM-based non-volatile flip-flop.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Enabling exact delay synthesis.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Improving Circuit Mapping Performance Through MIG-based Synthesis for Carry Chains.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Wave pipelining for majority-based beyond-CMOS technologies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Endurance management for resistive Logic-In-Memory computing architectures.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

A novel basis for logic rewriting.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Multi-level logic benchmarks: An exactness study.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Study on the Programming Structures for RRAM-Based FPGA Architectures.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Efficient Statistical Parameter Selection for Nonlinear Modeling of Process/Performance Variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Majority-Inverter Graph: A New Paradigm for Logic Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A Sound and Complete Axiomatization of Majority-n Logic.
IEEE Trans. Computers, 2016

A Fault-Tolerant Ripple-Carry Adder with Controllable-Polarity Transistors.
ACM J. Emerg. Technol. Comput. Syst., 2016

Emerging Technology-Based Design of Primitives for Hardware Security.
ACM J. Emerg. Technol. Comput. Syst., 2016

Co-Design of ReRAM Passive Crossbar Arrays Integrated in 180 nm CMOS Technology.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016

Inversion optimization in Majority-Inverter Graphs.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2016

Three-Independent-Gate Transistors: Opportunities in digital, analog and RF applications.
Proceedings of the 17th Latin-American Test Symposium, 2016

Notes on Majority Boolean Algebra.
Proceedings of the 46th IEEE International Symposium on Multiple-Valued Logic, 2016

Digital, analog and RF design opportunities of three-independent-gate transistors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A Full-Capacity Local RoutingArchitecture for FPGAs (Abstract Only).
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016

Optimizing Majority-Inverter Graphs with functional hashing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Fast logic synthesis for RRAM-based in-memory computing using Majority-Inverter Graphs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

The Programmable Logic-in-Memory (PLiM) computer.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Exploiting inherent characteristics of reversible circuits for faster combinational equivalence checking.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

An MIG-based compiler for programmable logic-in-memory architectures.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Majority-based synthesis for nanotechnologies.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
A Novel FPGA Architecture Based on Ultrafine Grain Reconfigurable Logic Cells.
IEEE Trans. Very Large Scale Integr. Syst., 2015

New Logic Synthesis as Nanotechnology Enabler.
Proc. IEEE, 2015

A Survey on Low-Power Techniques with Emerging Technologies: From Devices to Systems.
ACM J. Emerg. Technol. Comput. Syst., 2015

NEM relay design with biconditional binary decision diagrams.
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

A study on buffer distribution for RRAM-based FPGA routing structures.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

A fast pruning technique for low-power inexact Circuit design.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

On the Design of a Fault Tolerant Ripple-Carry Adder with Controllable-Polarity Transistors.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Exploiting Circuit Duality to Speed up SAT.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Reversible Logic Synthesis via Biconditional Binary Decision Diagrams.
Proceedings of the 2015 IEEE International Symposium on Multiple-Valued Logic, 2015

FPGA-SPICE: A simulation-based power estimation framework for FPGAs.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Reliable and high performance STT-MRAM architectures based on controllable-polarity devices.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Exploiting the Expressive Power of Graphene Reconfigurable Gates via Post-Synthesis Optimization.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

Accurate power analysis for near-Vt RRAM-based FPGA.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Towards More Efficient Logic Blocks By Exploiting Biconditional Expansion (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

A surface potential and current model for polarity-controllable silicon nanowire FETs.
Proceedings of the 45th European Solid State Device Research Conference, 2015

Fault modeling in controllable polarity silicon nanowire circuits.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

A ultra-low-power FPGA based on monolithically integrated RRAMs.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Multiple Independent Gate FETs: How many gates do we need?
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Configurable Circuits Featuring Dual-Threshold-Voltage Design With Three-Independent-Gate Silicon Nanowire FETs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Energy/Reliability Trade-Offs in Low-Voltage ReRAM-Based Non-Volatile Flip-Flop Design.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

System Level Benchmarking with Yield-Enhanced Standard Cell Library for Carbon Nanotube VLSI Circuits.
ACM J. Emerg. Technol. Comput. Syst., 2014

Biconditional Binary Decision Diagrams: A Novel Canonical Logic Representation Form.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

Novel configurable logic block architecture exploiting controllable-polarity transistors.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

3D technologies for reconfigurable architectures.
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014

Fast process variation analysis in nano-scaled technologies using column-wise sparse parameter selection.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2014

Unlocking Controllable-Polarity Transistors Opportunities by Exclusive-OR and Majority Logic Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Novel grid-based power routing scheme for regular controllable-polarity FET arrangements.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

TSPC Flip-Flop circuit design with three-independent-gate silicon nanowire FETs.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A high-performance low-power near-Vt RRAM-based FPGA.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014

Pattern-based FPGA logic block and clustering algorithm.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

A new basic logic structure for data-path computation (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014

Majority Logic Synthesis for Spin Wave Technology.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014

Advanced system on a chip design based on controllable-polarity FETs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

An efficient manipulation package for Biconditional Binary Decision Diagrams.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Majority-Inverter Graph: A Novel Data-Structure and Algorithms for Efficient Logic Optimization.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Leveraging Emerging Technology for Hardware Security - Case Study on Silicon Nanowire FETs and Graphene SymFETs.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

Data compression via logic synthesis.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Power-Gated Differential Logic Style Based on Double-Gate Controllable-Polarity Transistors.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

A ReRAM-based non-volatile flip-flop with sub-VT read and CMOS voltage-compatible write.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Efficient arithmetic logic gates using double-gate silicon nanowire FETs.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

SATSoT: A methodology to map controllable-polarity devices on a regular fabric using SAT.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Vertically-stacked silicon nanowire transistors with controllable polarity: A robustness study.
Proceedings of the 14th Latin American Test Workshop, 2013

Dual-threshold-voltage configurable circuits with three-independent-gate silicon nanowire FETs.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Self-checking ripple-carry adder with Ambipolar Silicon NanoWire FET.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

3.5-D integration: A case study.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

A fast TCAD-based methodology for Variation analysis of emerging nano-devices.
Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2013

Vertically-stacked double-gate nanowire FETs with controllable polarity: from devices to regular ASICs.
Proceedings of the Design, Automation and Test in Europe, 2013

Biconditional BDD: a novel canonical BDD for logic synthesis targeting XOR-rich circuits.
Proceedings of the Design, Automation and Test in Europe, 2013

Towards structured ASICs using polarity-tunable Si nanowire transistors.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

BDS-MAJ: a BDD-based logic synthesis tool exploiting majority logic decomposition.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

MIXSyn: An efficient logic synthesis methodology for mixed XOR-AND/OR dominated circuits.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

GMS: Generic memristive structure for non-volatile FPGAs.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

Process/design co-optimization of regular logic tiles for double-gate silicon nanowire transistors.
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures, 2012

2011
Matrix Nanodevice-Based Logic Architectures and Associated Functional Mapping Method.
ACM J. Emerg. Technol. Comput. Syst., 2011

Using OxRRAM memories for improving communications of reconfigurable FPGA architectures.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

Ultra-fine grain FPGAs: A granularity study.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

Evaluation of a crossbar multiplexer in a lithography-based nanowire technology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Can we go towards true 3-D architectures?
Proceedings of the 48th Design Automation Conference, 2011

2010
Reducing transistor count in clocked standard cells with ambipolar double-gate FETs.
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures, 2010

Logic cells and interconnect strategies for nanoscale reconfigurable computing fabrics.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Emerging memory technologies for reconfigurable routing in FPGA architecture.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

Phase-change-memory-based storage elements for configurable logic.
Proceedings of the International Conference on Field-Programmable Technology, 2010

2009
Emerging Technologies and Nanoscale Computing Fabrics.
Proceedings of the VLSI-SoC: Technologies for Systems Integration, 2009

Interconnection scheme and associated mapping method of reconfigurable cell matrices based on nanoscale devices.
Proceedings of the 2009 IEEE/ACM International Symposium on Nanoscale Architectures, 2009

Mapping method of reconfigurable cell matrices based on nanoscale devices using inter-stage fixed interconnection scheme.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Reconfigurable nanoscale logic cells : a comparison study.
Proceedings of the 16th IEEE International Conference on Electronics, 2009


  Loading...