Daniel Große

Orcid: 0000-0002-1490-6175

Affiliations:
  • JKU Linz, Austria
  • University of Bremen, Germany (former)


According to our database1, Daniel Große authored at least 181 papers between 2001 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Programming Language Assisted Waveform Analysis: A Case Study on the Instruction Performance of SERV.
CoRR, 2023

GUI-VP Kit: A RISC-V VP Meets Linux Graphics - Enabling Interactive Graphical Application Development.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

Enhancing Compiler-Driven HDL Design with Automatic Waveform Analysis.
Proceedings of the Forum on Specification & Design Languages, 2023

Improving Design Understanding of Processors leveraging Datapath Clustering.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
RevSCA-2.0: SCA-Based Formal Verification of Nontrivial Multipliers Using Reverse Engineering and Local Vanishing Removal.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

An Exploration Platform for Microcoded RISC-V Cores leveraging the One Instruction Set Computer Principle.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

RVVRadar: A Framework for Supporting the Programmer in Vectorization for RISC-V.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Efficient Cross-Level Processor Verification using Coverage-guided Fuzzing.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

Divider Verification Using Symbolic Computer Algebra and Delayed Don't Care Optimization.
Proceedings of the 22nd Formal Methods in Computer-Aided Design, 2022

Formal Verification of SUBLEQ Microcode implementing the RV32I ISA.
Proceedings of the Forum on Specification & Design Languages, 2022

SpinalFuzz: Coverage-Guided Fuzzing for SpinalHDL Designs.
Proceedings of the IEEE European Test Symposium, 2022

Verifying SystemC TLM peripherals using modern C++ symbolic execution tools.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Formal verification of modular multipliers using symbolic computer algebra and boolean satisfiability.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Waveform-based performance analysis of RISC-V processors: late breaking results.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

WAL: A Novel Waveform Analysis Language for Advanced Design Understanding and Debugging.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Adaptive simulation with Virtual Prototypes in an open-source RISC-V evaluation platform.
J. Syst. Archit., 2021

Toward RISC-V CSR Compliance Testing.
IEEE Embed. Syst. Lett., 2021

Metamorphic Testing for Processor Verification: A RISC-V Case Study at the Instruction Level.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

XbNN: Enabling CNNs on Edge Devices by Approximate On-Chip Dot Product Encoding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

EPEX: Processor Verification by Equivalent Program Execution.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Verifying Dividers Using Symbolic Computer Algebra and Don't Care Optimization.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

System Level Verification of Phase-Locked Loop using Metamorphic Relations.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Mutation-based Compliance Testing for RISC-V.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

System-Level Verification of Linear and Non-Linear Behaviors of RF Amplifiers using Metamorphic Relations.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
On the impact of the synchronization constraint and interconnections in quantum-dot cellular automata.
Microprocess. Microsystems, 2020

RISC-V based virtual prototype: An extensible and configurable platform for the system-level.
J. Syst. Archit., 2020

Verifying Safety Properties of Robotic Plans Operating in Real-World Environments via Logic-Based Environment Modeling.
Proceedings of the Leveraging Applications of Formal Methods, Verification and Validation: Applications, 2020

ASNet: Introducing Approximate Hardware to High-Level Synthesis of Neural Networks.
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020

Clustering-Guided SMT($\mathcal {L\!R\!A}$) Learning.
Proceedings of the Integrated Formal Methods - 16th International Conference, 2020

Adaptive Simulation with Virtual Prototypes for RISC-V: Switching Between Fast and Accurate at Runtime.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Verification of Embedded Binaries using Coverage-guided Fuzzing with SystemC-based Virtual Prototypes.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Early Verification of ISA Extension Specifications using Deep Reinforcement Learning.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

Efficient Cross-Level Testing for Processor Verification: A RISC- V Case-Study.
Proceedings of the Forum for Specification and Design Languages, 2020

Towards Generation of a Programmable Power Management Unit at the Electronic System Level.
Proceedings of the 23rd International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2020

Towards Formal Verification of Optimized and Industrial Multipliers.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Towards Specification and Testing of RISC-V ISA Compliance<sup>⋆</sup>.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Fast and Accurate Performance Evaluation for RISC-V using Virtual Prototypes<sup>*</sup>.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Verification for Field-coupled Nanocomputing Circuits.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Dynamic Information Flow Tracking for Embedded Binaries using SystemC-based Virtual Prototypes.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Closing the RISC-V Compliance Gap: Looking from the Negative Testing Side<sup>*</sup>.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

RVX - A Tool for Concolic Testing of Embedded Binaries Targeting RISC-V Platforms.
Proceedings of the Automated Technology for Verification and Analysis, 2020

2019
Verifying SystemC Using Intermediate Verification Language and Stateful Symbolic Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Combining sequentialization-based verification of multi-threaded C programs with symbolic Partial Order Reduction.
Int. J. Softw. Tools Technol. Transf., 2019

Placement and Routing for Tile-based Field-coupled Nanocomputing Circuits Is <i>NP</i>-complete (Research Note).
ACM J. Emerg. Technol. Comput. Syst., 2019

Security validation of VP-based SoCs using dynamic information flow tracking.
it Inf. Technol., 2019

fiction: An Open Source Framework for the Design of Field-coupled Nanocomputing Circuits.
CoRR, 2019

Ignore Clocking Constraints: An Alternative Physical Design Methodology for Field-Coupled Nanotechnologies.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Automated Analysis of Virtual Prototypes at Electronic System Level.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Systematic RISC-V based Firmware Design<sup>⋆</sup>.
Proceedings of the 2019 Forum for Specification and Design Languages, 2019

Functional Coverage-Driven Characterization of RF Amplifiers.
Proceedings of the 2019 Forum for Specification and Design Languages, 2019

SAT-Hard: A Learning-Based Hardware SAT-Solver.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

Towards Formal Verification of Plans for Cognition-Enabled Autonomous Robotic Agents.
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019

Detection of Hardware Trojans in SystemC HLS Designs via Coverage-guided Fuzzing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Verifying Instruction Set Simulators using Coverage-guided Fuzzing<sup>*</sup>.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Data Flow Testing for SystemC-AMS Timed Data Flow Models.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

One Method - All Error-Metrics: A Three-Stage Approach for Error-Metric Evaluation in Approximate Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

RevSCA: Using Reverse Engineering to Bring Light into Backward Rewriting for Big and Dirty Multipliers.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Early Concolic Testing of Embedded Binaries with Virtual Prototypes: A RISC-V Case Study.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Ensuring Correctness of Next Generation Devices: From Reconfigurable to Self-Learning Systems.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

Scalable design for field-coupled nanocomputing circuits.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

Maximizing power state cross coverage in firmware-based power management.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

(ReCo)Fuse Your PRC or Lose Security: Finally Reliable Reconfiguration-Based Countermeasures on FPGAs.
Proceedings of the Applied Reconfigurable Computing - 15th International Symposium, 2019

Approximate Hardware Generation Using Formal Techniques.
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019

2018
Behaviour Driven Development for Hardware Design.
IPSJ Trans. Syst. LSI Des. Methodol., 2018

Power Intent from Initial ESL Prototypes: Extracting Power Management Parameters<sup>*</sup>.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

Towards Automated Refinement of TLM Properties to RTL.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2018

Towards Dynamic Execution Environment for System Security Protection Against Hardware Flaws.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Combining Symbolic Computer Algebra and Boolean Satisfiability for Automatic Debugging and Fixing of Complex Multipliers.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

PolyCleaner: clean your polynomials before backward rewriting to verify million-gate multipliers.
Proceedings of the International Conference on Computer-Aided Design, 2018

SAT-Lancer: A Hardware SAT-Solver for Self-Verification.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Extensible and Configurable RISC-V Based Virtual Prototype.
Proceedings of the 2018 Forum on Specification & Design Languages, 2018

Evaluating the Impact of Interconnections in Quantum-Dot Cellular Automata.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Towards Reversed Approximate Hardware Design.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Natural Language Based Power Domain Partitioning.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

An exact method for design exploration of quantum-dot cellular automata.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Resilience evaluation via symbolic fault injection on intermediate code.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Towards fully automated TLM-to-RTL property refinement.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Testbench qualification for SystemC-AMS timed data flow models.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Approximate hardware generation using symbolic computer algebra employing grobner basis.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Approximation-aware testing for approximate circuits.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
metaSMT: focus on your application and not on solver integration.
Int. J. Softw. Tools Technol. Transf., 2017

Yise - a novel framework for boolean networks using y-inverter graphs.
Proceedings of the 15th ACM-IEEE International Conference on Formal Methods and Models for System Design, 2017

Exakte BDD Minimierung mit Fehlerschranke für den Einsatz im Approximate Computing.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2017

Error Bounded Exact BDD Minimization in Approximate Computing.
Proceedings of the 47th IEEE International Symposium on Multiple-Valued Logic, 2017

Early SoC security validation by VP-based static information flow analysis.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

ProACt: A Processor for High Performance On-demand Approximate Computing.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

An adaptive prioritized <i>ε</i>-preferred evolutionary algorithm for approximate BDD optimization.
Proceedings of the Genetic and Evolutionary Computation Conference, 2017

Semi-formal Cycle-Accurate Temporal Execution Traces Reconstruction.
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2017

Towards early validation of firmware-based power management using virtual prototypes: A constrained random approach.
Proceedings of the 2017 Forum on Specification and Design Languages, 2017

Data flow testing for virtual prototypes.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Trust is good, control is better: Hardware-based instruction-replacement for reliable processor-IPs.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Symbolic Error Metric Determination for Approximate Computing.
Proceedings of the 19th GI/ITG/GMM Workshop Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2016

Guided lightweight Software test qualification for IP integration using Virtual Prototypes.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Compiled symbolic simulation for systemC.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Approximation-aware rewriting of AIGs for error tolerant applications.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Approximate BDD Optimization with Prioritized ε-Preferred Evolutionary Algorithm.
Proceedings of the Genetic and Evolutionary Computation Conference, 2016

Equivalence checking using Gröbner bases.
Proceedings of the 2016 Formal Methods in Computer-Aided Design, 2016

On the application of formal fault localization to automated RTL-to-TLM fault correspondence analysis for fast and accurate VP-based error effect simulation - a case study.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

Formal verification of integer multipliers by combining Gröbner basis with logic reduction.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Towards formal verification of real-world SystemC TLM peripheral models - a case study.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Quantitative timing analysis of UML activity diagrams using statistical model checking.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Precise error determination of approximated components in sequential circuits with model checking.
Proceedings of the 53rd Annual Design Automation Conference, 2016

ParCoSS: Efficient Parallelized Compiled Symbolic Simulation.
Proceedings of the Computer Aided Verification - 28th International Conference, 2016

BDD minimization for approximate computing.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Recurrence Relations Revisited: Scalable Verification of Bit Level Multiplier Circuits.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Lazy-CSeq-SP: Boosting Sequentialization-Based Verification of Multi-threaded C Programs via Symbolic Pruning of Redundant Schedules.
Proceedings of the Automated Technology for Verification and Analysis, 2015

2014
Funktionale Abdeckungsanalyse von C-Programmen.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 2014

Constraint-based platform variants specification for early system verification.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Enhanced Formal Verification Flow for Circuits Integrating Debugging and Coverage Analysis.
Electron. Commun. Eur. Assoc. Softw. Sci. Technol., 2013

Towards automatic scenario generation from coverage information.
Proceedings of the 8th International Workshop on Automation of Software Test, 2013

Minimal Stimuli Generation in Simulation-Based Verification.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

Scalable fault localization for SystemC TLM designs.
Proceedings of the Design, Automation and Test in Europe, 2013

Verifying SystemC using an intermediate verification language and symbolic simulation.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Automatic TLM Fault Localization for SystemC.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Equivalence Checking of Reversible Circuits.
J. Multiple Valued Log. Soft Comput., 2012

CRAVE: An Advanced Constrained RAndom Verification Environment for SystemC.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2012

From Requirements and Scenarios to ESL Design in SystemC.
Proceedings of the International Symposium on Electronic System Design, 2012

Behavior Driven Development for circuit design and verification.
Proceedings of the 2012 IEEE International High Level Design Validation and Test Workshop, 2012

Completeness-Driven Development.
Proceedings of the Graph Transformations - 6th International Conference, 2012

Localizing features of ESL models for design understanding.
Proceedings of the Proceeding of the 2012 Forum on Specification and Design Languages, 2012

Coverage-Driven Stimuli Generation.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

A guiding coverage metric for formal verification.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

The system verification methodology for advanced TLM verification.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

2011
Debugging reversible circuits.
Integr., 2011

Designing a RISC CPU in Reversible Logic.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2011

Simulation-based Equivalence Checking between SystemC Models at Different Levels of Abstraction.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2011

Towards Dependability-Aware Design of Hardware Systems Using Extended Program State Machines.
Proceedings of the 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, 2011

metaSMT: Focus on Your Application not on Solver Integration.
Proceedings of the First International Workshop on Design and Implementation of Formal Tools and Systems, 2011

Analyzing dependability measures at the Electronic System Level.
Proceedings of the 2011 Forum on Specification & Design Languages, 2011

TLM protocol compliance checking at the Electronic System Level.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

2010
Towards Fully Automatic Synthesis of Embedded Software.
IEEE Embed. Syst. Lett., 2010

Automatic Fault Localization for SystemC TLM Designs.
Proceedings of the 11th International Workshop on Microprocessor Test and Verification, 2010

Proving transaction and system-level properties of untimed SystemC TLM designs.
Proceedings of the 8th ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010), 2010

Towards analyzing functional coverage in SystemC TLM property checking.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2010

Quality-Driven SystemC Design
Springer, ISBN: 978-90-481-3630-8, 2010

2009
Exact Multiple-Control Toffoli Network Synthesis With SAT Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

Exact Synthesis of Elementary Quantum Gate Circuits.
J. Multiple Valued Log. Soft Comput., 2009

Reversible Logic Synthesis with Output Permutation.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

WoLFram- A Word Level Framework for Formal Verification.
Proceedings of the Twentienth IEEE/IFIP International Symposium on Rapid System Prototyping, 2009

Induction-Based Formal Verification of SystemC TLM Designs.
Proceedings of the 10th International Workshop on Microprocessor Test and Verification, 2009

Contradictory antecedent debugging in bounded model checking.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

SMT-based stimuli generation in the SystemC Verification library.
Proceedings of the Forum on specification and Design Languages, 2009

Debugging of Toffoli networks.
Proceedings of the Design, Automation and Test in Europe, 2009

Property analysis and design understanding.
Proceedings of the Design, Automation and Test in Europe, 2009

Formal Verification of Abstract SystemC Models.
Proceedings of the Algorithms and Applications for Next Generation SAT Solvers, 08.11., 2009

2008
Analyzing Functional Coverage in Bounded Model Checking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Property Analysis and Design Understanding in a Quality-Driven Bounded Model Checking Flow.
Proceedings of the Ninth International Workshop on Microprocessor Test and Verification, 2008

Using Contradiction Analysis for Antecedent Debugging in Bounded Model Checking.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2008

Using Higher Levels of Abstraction for Solving Optimization Problems by Boolean Satisfiability.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

RevLib: An Online Resource for Reversible Functions and Reversible Circuits.
Proceedings of the 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 2008

Exact Synthesis of Elementary Quantum Gate Circuits for Reversible Functions with Don't Cares.
Proceedings of the 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 2008

Qualitätsorientierter Entwurfs- und Verifikationsablauf für digitale Systeme [Quality-Driven Design and Verification Flow for Digital Systems].
Proceedings of the Ausgezeichnete Informatikdissertationen 2008, 2008

Debugging Contradictory Constraints in Constraint-Based Random Simulation.
Proceedings of the Languages for Embedded Systems and their Applications, 2008

Contradiction Analysis for Constraint-based Random Simulation.
Proceedings of the Forum on specification and Design Languages, 2008

Quantified Synthesis of Reversible Logic.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
SWORD: A SAT like prover using word level information.
Proceedings of the IFIP VLSI-SoC 2007, 2007

Formal Verification on the Word Level using SAT-like Proof Techniques.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2007

Improving the Quality of Bounded Model Checking by Means of Coverage Estimation.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Evaluation of Toggle Coverage for MVL Circuits Specified in the SystemVerilog HDL.
Proceedings of the 37th International Symposium on Multiple-Valued Logic, 2007

Fast exact Toffoli network synthesis of reversible logic.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Improvements for constraint solving in the systemc verification library.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Exact sat-based toffoli network synthesis.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Measuring the Quality of a SystemC Testbench by using Code Coverage Techniques.
Proceedings of the Forum on specification and Design Languages, 2007

Estimating functional coverage in bounded model checking.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
HW/SW co-verification of embedded systems using bounded model checking.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Avoiding false negatives in formal verification for protocol-driven blocks.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
SyCE: An Integrated Environment for System Design in SystemC.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

HW/SW Co-Verification of a RISC CPU using Bounded Model Checking.
Proceedings of the Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), 2005

CheckSyC: an efficient property checker for RTL SystemC designs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Formale Verifikation des Befehlssatzes eines SystemC Mikroprozessors.
Proceedings of the 35. Jahrestagung der Gesellschaft für Informatik, 2005

Acceleration of SAT-Based Iterative Property Checking.
Proceedings of the Correct Hardware Design and Verification Methods, 2005

2004
Checkers for SystemC designs.
Proceedings of the 2nd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2004), 2004

2003
Ein Ansatz zur formalen Verifikation von Schaltungsbeschreibungen in SystemC.
it Inf. Technol., 2003

Formale Verifikation von LTL-Formeln für SystemC-Beschreibungen.
Proceedings of the Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen (MBMV), 2003

Modeling Multi-Valued Circuits in SystemC.
Proceedings of the 33rd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2003), 2003

Formal verification of LTL formulas for SystemC designs.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

BDD-based verification of scalable designs.
Proceedings of the Eighth IEEE International High-Level Design Validation and Test Workshop 2003, 2003

Efficient Automatic Visualization of SystemC Designs.
Proceedings of the Forum on specification and Design Languages, 2003

2002
Heuristic Learning Based on Genetic Programming.
Genet. Program. Evolvable Mach., 2002

Reachability Analysis for Formal Verification of SystemC.
Proceedings of the 2002 Euromicro Symposium on Digital Systems Design (DSD 2002), 2002

2001
Too Much Knowledge Hurts: Acceleration of Genetic Programs for Learning Heuristics.
Proceedings of the Computational Intelligence, 2001


  Loading...