Sarvesh Bhardwaj

According to our database1, Sarvesh Bhardwaj authored at least 30 papers between 2002 and 2020.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2020
Fast Lagrangian Relaxation-Based Multithreaded Gate Sizing Using Simple Timing Calibrations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2015
Fast Lagrangian Relaxation Based Gate Sizing using Multi-Threading.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
On Timing Closure: Buffer Insertion for Hold-Violation Removal.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2010
The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Reducing Functional Unit Power Consumption and its Variation Using Leakage Sensors.
IEEE Trans. Very Large Scale Integr. Syst., 2010

2009
Secure and Robust Localization in a Wireless Ad Hoc Environment.
IEEE Trans. Veh. Technol., 2009

2008
A Unified Approach for Full Chip Statistical Timing and Leakage Analysis of Nanoscale Circuits Considering Intradie Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Leakage Minimization of Digital Circuits Using Gate Sizing in the Presence of Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Multi-Attribute Optimization with Application to Leakage-Delay Trade-Offs Using Utility Theory.
J. Low Power Electron., 2008

Scalable model for predicting the effect of negative bias temperature instability for reliable design.
IET Circuits Devices Syst., 2008

Temperature and Process Variations Aware Power Gating of Functional Units.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Power Reduction of Functional Units Considering Temperature and Process Variations.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

2007
Tutorial T6: Robust Design of Nanoscale Circuits in the Presence of Process Variations.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

A Fast and Accurate approach for Full Chip Leakage Analysis of Nano-scale circuits considering Intra-die Correlations.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Computation of Joint Timing Yield of Sequential Networks Considering Process Variations.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

The Impact of NBTI on the Performance of Combinational and Sequential Circuits.
Proceedings of the 44th Design Automation Conference, 2007

2006
Statistical Leakage Minimization of Digital Circuits Using Gate Sizing, Gate Length Biasing, Threshold Voltage Selection.
J. Low Power Electron., 2006

LOTUS: Leakage Optimization under Timing Uncertainty for Standard-cell designs.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

A framework for statistical timing analysis using non-linear delay and slew models.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Stochastic variational analysis of large power grids considering intra-die correlations.
Proceedings of the 43rd Design Automation Conference, 2006

Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits.
Proceedings of the 43rd Design Automation Conference, 2006

Predictive Modeling of the NBTI Effect for Reliable Design.
Proceedings of the IEEE 2006 Custom Integrated Circuits Conference, 2006

Statistical leakage minimization through joint selection of gate sizes, gate lengths and threshold voltage.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Probability distribution of signal arrival times using Bayesian networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Formalizing designer's preferences for multiattribute optimization with application to leakage-delay tradeoffs.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Leakage minimization of nano-scale circuits in the presence of systematic and random variations.
Proceedings of the 42nd Design Automation Conference, 2005

An efficient combinationality check technique for the synthesis of cyclic combinational circuits.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Efficient Algorithms for Identifying the Minimum Leakage States in CMOS Combinational Logic.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

2003
AU: Timing Analysis Under Uncertainty.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

2002
Estimation of signal arrival times in the presence of delay noise.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002


  Loading...