Rajendran Panda

According to our database1, Rajendran Panda authored at least 59 papers between 1997 and 2010.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2010
Scalable Analysis of Mesh-Based Clock Distribution Networks Using Application-Specific Reduced Order Modeling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Guest Editorial: Special Issue on VLSI Design and Embedded Systems.
Int. J. Parallel Program., 2010

2009
<i>A Special Issue on the</i> "22nd IEEE International Conference on VLSI Design" New Delhi, India, 5-9 January 2009.
J. Low Power Electron., 2009

Characterization of sequential cells for constraint sensitivities.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

2008
Coupling Noise.
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008

A timing methodology considering within-die clock skew variations.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008

Accelerating Clock Mesh Simulation Using Matrix-Level Macromodels and Dynamic Time Step Rounding.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Characterization of Standard Cells for Intra-Cell Mismatch Variations.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

2007
Analysis of large clock meshes via harmonic-weighted model order reduction and port sliding.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

A novel technique for incremental analysis of on-chip power distribution networks.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

On-Chip Decoupling Capacitance and P/G Wire Co-optimization for Dynamic Noise.
Proceedings of the 44th Design Automation Conference, 2007

2006
Optimal placement of power-supply pads and pins.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Impact of stress-induced backflow on full-chip electromigration risk assessment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming.
Proceedings of the 43rd Design Automation Conference, 2006

Stochastic variational analysis of large power grids considering intra-die correlations.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Pessimism reduction in crosstalk noise aware STA.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Stochastic Power Grid Analysis Considering Process Variations.
Proceedings of the 2005 Design, 2005

2004
Crosstalk noise control in an SoC physical design flow.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Postroute gate sizing for crosstalk noise reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

A Methodology for Chip-Level Electromigration Risk Assessment and Product Qualification.
Proceedings of the 5th International Symposium on Quality of Electronic Design (ISQED 2004), 2004

Delay noise pessimism reduction by logic correlations.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

False-Noise Analysis for Domino Circuits.
Proceedings of the 2004 Design, 2004

A stochastic approach To power grid analysis.
Proceedings of the 41th Design Automation Conference, 2004

2003
Fast on-chip inductance simulation using a precorrected-FFT method.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Early probabilistic noise estimation for capacitively coupled interconnects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Impact of Low-Impedance Substrate on Power Supply Integrity.
IEEE Des. Test Comput., 2003

Static Electromigration Analysis for Signal Interconnects.
Proceedings of the 4th International Symposium on Quality of Electronic Design (ISQED 2003), 2003

Post-Route Gate Sizing for Crosstalk Noise Reduction.
Proceedings of the 4th International Symposium on Quality of Electronic Design (ISQED 2003), 2003

Signal integrity management in an SoC physical design flow.
Proceedings of the 2003 International Symposium on Physical Design, 2003

Table look-up based compact modeling for on-chip interconnect timing and noise analysis.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Vectorless Analysis of Supply Noise Induced Delay Variation.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

SOI Transistor Model for Fast Transient Simulation.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Statistical delay computation considering spatial correlations.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Duet: an accurate leakage estimation and optimization tool for dual-V<sub>t</sub> circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Hierarchical analysis of power distribution networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Worst case clock skew under power supply variations.
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002

Noise Injection and Propagation in High Performance Designs.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

False-Noise Analysis Using Resolution Method.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

Pre-route Noise Estimation in Deep Submicron Integrated Circuits.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

Noise propagation and failure criteria for VLSI designs.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

A precorrected-FFT method for simulating on-chip inductance.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model .
Proceedings of the 2002 Design, 2002

2001
On the interaction of power distribution network with substrate.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

2000
Design and Analysis of Power Distribution Networks with Accurate RLC Models.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Model and analysis for combined package and on-chip power grid simulation.
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000

Slope Propagation in Static Timing Analysis.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

On-chip inductance modeling.
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000

Hierarchical analysis of power distribution networks.
Proceedings of the 37th Conference on Design Automation, 2000

On-chip inductance modeling and analysis.
Proceedings of the 37th Conference on Design Automation, 2000

Current signature compression for IR-drop analysis.
Proceedings of the 37th Conference on Design Automation, 2000

Removing user specified false paths from timing graphs.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Stand-by Power Minimization Through Simultaneous Threshold Voltage Selection and Circuit Sizing.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Post-Mapping Transformations for Low-Power Synthesis.
VLSI Design, 1998

Emerging power management tools for processor design.
Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998

CMOS Combinational Circuit Sizing by Stage-wise Tapering.
Proceedings of the 1998 Design, 1998

Migration: A New Technique to Improve Synthesized Designs Through Incremental Customization.
Proceedings of the 35th Conference on Design Automation, 1998

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Library-less synthesis for static CMOS combinational logic circuits.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

Technology-Dependent Transformations for Low-Power Synthesis.
Proceedings of the 34st Conference on Design Automation, 1997


  Loading...