Scott Davidson

Orcid: 0000-0002-9390-6084

Affiliations:
  • Oracle Corp, Santa Clara, CA, USA
  • AT&T Bell Laboratories, Princeton, NJ, USA
  • Sun Microsystems, Sunnyvale, CA, USA (former)
  • University of Louisiana at Lafayette, LA, USA (PhD)


According to our database1, Scott Davidson authored at least 136 papers between 1975 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Losing My Memory.
IEEE Des. Test, February, 2024

Predictions.
IEEE Des. Test, 2024

2023
Calling Yourself Back.
IEEE Des. Test, October, 2023

Our Gated Community.
IEEE Des. Test, August, 2023

Is There an Answer?
IEEE Des. Test, April, 2023

Training Data Sets: The Source of Our Woes?
IEEE Des. Test, February, 2023

2022
Small Is Good.
IEEE Des. Test, 2022

Standing on the Shoulders of ...
IEEE Des. Test, 2022

Security Arms Race.
IEEE Des. Test, 2022

Benchmarking Benchmarking.
IEEE Des. Test, 2022

The Memory Shuffle.
IEEE Des. Test, 2022

25 Years (and a Bit More) of The Last Byte.
IEEE Des. Test, 2022

2021
Computing in the Real World.
IEEE Des. Test, 2021

Bad Design Inside of You.
IEEE Des. Test, 2021

Being Learned.
IEEE Des. Test, 2021

Security Begins at Home.
IEEE Des. Test, 2021

The Road to Open-Source EDA.
IEEE Des. Test, 2021

Hacking in the Dark.
IEEE Des. Test, 2021

2020
Too Many Cooks Make the Product.
IEEE Des. Test, 2020

Transitional Phones.
IEEE Des. Test, 2020

My Friendly Orange Glow.
IEEE Des. Test, 2020

The Last Byte: 3-D TV? We Got 6-D TV!
IEEE Des. Test, 2020

The Last Byte: Are You Sure You Love That Store?
IEEE Des. Test, 2020

The Last Byte: Big Data, Big Faults.
IEEE Des. Test, 2020

2019
The Last Byte: The Internet of People.
IEEE Des. Test, 2019

The Last Byte: IoT and That Nagging Feeling.
IEEE Des. Test, 2019

The Last Byte: System Testing Ourselves.
IEEE Des. Test, 2019

The Last Byte: I Have Met the IoT Security Enemy and He Is Us.
IEEE Des. Test, 2019

Is This a System?
IEEE Des. Test, 2019

2018
Running on Empty.
IEEE Des. Test, 2018

Self-Test and Self-Aware.
IEEE Des. Test, 2018

The Joy of Scheduling.
IEEE Des. Test, 2018

Computers with Tailfins?
IEEE Des. Test, 2018

Electronic Design Automation for IC Implementation, Circuit Design, and Process Technology and Electronic Design Automation for IC System Design, Verification, and Testing.
IEEE Des. Test, 2018

The Last Byte: Real Time, Real People.
IEEE Des. Test, 2018

Technobabble.
IEEE Des. Test, 2018

2017
Chips Thinking About Chips.
IEEE Des. Test, 2017

To Verification Infinity and Beyond.
IEEE Des. Test, 2017

Engineering Secure Internet of Things Systems.
IEEE Des. Test, 2017

Practice Makes Perfect.
IEEE Des. Test, 2017

Being Connected.
IEEE Des. Test, 2017

Cyber-Physical System Design With Sensor Networking Technologies.
IEEE Des. Test, 2017

Dark Silicon, Antiparallelism, and Too Much Work.
IEEE Des. Test, 2017

Research Is Its Own Reward.
IEEE Des. Test, 2017

2016
Where Are We Going?
IEEE Des. Test, 2016

The Intestinal Superhighway.
IEEE Des. Test, 2016

And He Built a Crooked Chip.
IEEE Des. Test, 2016

The Five Stages of Project Grief.
IEEE Des. Test, 2016

Good Enough Computing.
IEEE Des. Test, 2016

2015
Chips That Do Things.
IEEE Des. Test, 2015

A 3-D Forward into the Past.
IEEE Des. Test, 2015

Time Out of Mind.
IEEE Des. Test, 2015

Getting Credit.
IEEE Des. Test, 2015

2014
A Truly Wireless Future?
IEEE Des. Test, 2014

Hey, you, get onna my cloud [The Last Byte].
IEEE Des. Test, 2014

50 Years of DAC Moments.
IEEE Des. Test, 2014

2013
Planned Unobsolescence.
IEEE Des. Test, 2013

2012
Energy Efficiency Like Your Momma Used to Make.
IEEE Des. Test Comput., 2012

At the beginning.
IEEE Des. Test Comput., 2012

A World Without Standards.
IEEE Des. Test Comput., 2012

Yield of Black Swans.
IEEE Des. Test Comput., 2012

Testing high-frequency and low-power designs: Do the standard rules and tools apply?
Proceedings of the 2012 IEEE International Test Conference, 2012

2011
All About Liquid Scan Chains - and More [review of "Digital Microfluidic Biochips: Design Automation and Optimization" (Chakrabarty, K. and Xu, T.; 2010)].
IEEE Des. Test Comput., 2011

Transition test bring-up and diagnosis on UltraSPARC<sup>TM</sup> processors.
Proceedings of the 2011 IEEE International Test Conference, 2011

2010
About the power problem [review of "Power-Aware Testing and Test Strategies for Low Power Devices" (Girard, P., Eds., et.; 2010)].
IEEE Des. Test Comput., 2010

Concurrent checking for logic [review of "New Methods of Concurrent Checking (Goessel, M., et al; 2008)].
IEEE Des. Test Comput., 2010

2009
The Last Byte: Too many reboots.
IEEE Des. Test Comput., 2009

Book Reviews: A guide for the wrapper perplexed.
IEEE Des. Test Comput., 2009

Book Review: A book on system test, and testing systems also.
IEEE Des. Test Comput., 2009

A second course on testing [review of System on Chip Test Architectures (Wang, L.-T et al., Eds.; 2007)].
IEEE Des. Test Comput., 2009

Using transition test to understand timing behavior of logic circuits on UltraSPARC<sup>TM</sup> T2 family.
Proceedings of the 2009 IEEE International Test Conference, 2009

2008
Guest Editors' Introduction: Progress in Test Compression.
IEEE Des. Test Comput., 2008

With pick and shovel through our data.
IEEE Des. Test Comput., 2008

The commonality of vector generation techniques.
IEEE Des. Test Comput., 2008

How to make your own processor architecture.
IEEE Des. Test Comput., 2008

Justifying DFT with a Hierarchical Top-Down Cost-Benefit Model.
Proceedings of the 2008 IEEE International Test Conference, 2008

2007
The Psychology of Electronic Test.
IEEE Des. Test Comput., 2007

Book Reviews: Test Tutorials in Book Form.
IEEE Des. Test Comput., 2007

How do we train today's students to become tomorrow's engineers?
IEEE Des. Test Comput., 2007

Losing control.
IEEE Des. Test Comput., 2007

A textbook with two target audiences.
IEEE Des. Test Comput., 2007

A laboratory right under your nose.
IEEE Des. Test Comput., 2007

2006
Book Reviews: A Comprehensive EDA Handbook.
IEEE Des. Test Comput., 2006

Who Reads This Stuff Anyway?
IEEE Des. Test Comput., 2006

An insider's look at microprocessor design.
IEEE Des. Test Comput., 2006

All about getting it.
IEEE Des. Test Comput., 2006

Searching for clues: Diagnosing IC failures.
IEEE Des. Test Comput., 2006

Behavioral Test Economics.
Proceedings of the 2006 IEEE International Test Conference, 2006

2005
Guest Editor's Introduction: ITC Examines How Test Helps the Fittest Survive.
IEEE Des. Test Comput., 2005

What's the problem?
IEEE Des. Test Comput., 2005

BIST the hard way.
IEEE Des. Test Comput., 2005

Testing: It's not just pass/fail anymore.
IEEE Des. Test Comput., 2005

Towards an Understanding of No Trouble Found Devices.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

The ITC test compression shootout.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Understanding NTF components from the field.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

2004
Design illiteracy.
IEEE Des. Test Comput., 2004

Open-source hardware.
IEEE Des. Test Comput., 2004

A practical look at ATPG.
IEEE Des. Test Comput., 2004

Paperless Design and Test.
IEEE Des. Test Comput., 2004

2003
All I Know I Learned at ITC.
IEEE Des. Test Comput., 2003

The P1500 DFT Disclosure Document: A Standard to Communicate Mergeable Core DFT Data.
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003

2002
On Identifying Indistinguishable Path Delay Faults and Improving Diagnosis.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

What Can IC Test Teach System Test?
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

2001
Welcome to 2001.
IEEE Des. Test Comput., 2001

An Evaluation of Pseudo Random Testing for Detecting Real Defects.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

ATPG for Design Errors-Is It Possible?
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

2000
Guest Editors' Introduction: Benchmarking for Design and Test.
IEEE Des. Test Comput., 2000

Testing in 2100.
IEEE Des. Test Comput., 2000

Twenty Years Ago Today.
IEEE Des. Test Comput., 2000

1999
How Do I Boot Thee? Let Me Check Page 3.
IEEE Des. Test Comput., 1999

ITC'99 Benchmark Circuits - Preliminary Results.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

Changing our Path to High Level ATPG.
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999

1998
The Last Byte.
IEEE Des. Test Comput., 1998

Minutes Found on a Cave Wall.
IEEE Des. Test Comput., 1998

The Newer Colossus.
IEEE Des. Test Comput., 1998

Test Reuse at System Level.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998

ASIC jeopardy-diagnosing without a FAB.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

1997
Why projects are late.
IEEE Des. Test Comput., 1997

George learns test.
IEEE Des. Test Comput., 1997

1996
How to achieve 95% fault coverage without really trying.
IEEE Des. Test Comput., 1996

A test puzzle for a TGIF morning.
IEEE Des. Test Comput., 1996

Base 1 logic: A method for environmentally friendly PC design.
IEEE Des. Test Comput., 1996

1994
<i>I<sub>DDQ</sub></i> Detection of CMOS Bridging Faults by Stuck-At Fault Tests.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Is I<sub>DDQ</sub> Yield Loss Inevitable?
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

1989
Guest Editor's Introduction: Software Tools for Hardware Tests.
Computer, 1989

1986
Progress im High-Level Microprogramming.
IEEE Softw., 1986

ESIM/AFS : A Concurrent Architectural Level Fault Simulator.
Proceedings of the Proceedings International Test Conference 1986, 1986

1985
High level design automation tools (session overview).
Proceedings of the 13th ACM Annual Conference on Computer Science, 1985

1984
Fault Simulation at the Architectural Level.
Proceedings of the Proceedings International Test Conference 1984, 1984

1981
Some Experiments in Local Microcode Compaction for Horizontal Machines.
IEEE Trans. Computers, 1981

Specifying target resources in a machine independent higher level language.
Proceedings of the American Federation of Information Processing Societies: 1981 National Computer Conference, 1981

1980
Local Microcode Compaction Techniques.
ACM Comput. Surv., 1980

Firmware Engineering - Firmware Engineering.
Proceedings of the Firmware Engineering, 1980

1978
An Overview of Firmware Engineering.
Computer, 1978

1976
Testing of microprograms using the lockheed SUE microinstruction simulator.
Proceedings of the 4th Symposium on Simulation of Computer Systems, 1976

1975
A network of dynamically microprogrammable machines.
Proceedings of the 8th annual workshop on Microprogramming, 1975


  Loading...