Stefan Hänzsche

Orcid: 0000-0003-1401-4721

According to our database1, Stefan Hänzsche authored at least 24 papers between 2012 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A 3.3V Saturation-Aware Neurostimulator with Reset Functionality in 22 nm FDSOI.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

A 12-ADC 25-Core Smart MPSoC Using ABB in 22FDX for 77GHz MIMO Radars at 52.6mW Average Power.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023

2022
An Ultra-Low Area Digital-Assisted Neuro Recording System in 22nm FDSOI Technology.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A 16-Channel Fully Configurable Neural SoC With 1.52 $\mu$W/Ch Signal Acquisition, 2.79 $\mu$W/Ch Real-Time Spike Classifier, and 1.79 TOPS/W Deep Neural Network Accelerator in 22 nm FDSOI.
IEEE Trans. Biomed. Circuits Syst., 2022

A Single Battery Supply Power Concept for a Neuro Recording and Flexible Processing Chain in 22 nm.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2022, Oslo, 2022

2021
Ultra-High Compression of Twiddle Factor ROMs in Multi-Core DSP for FMCW Radars.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
Mean Field Approach for Configuring Population Dynamics on a Biohybrid Neuromorphic System.
J. Signal Process. Syst., 2020

2019
Performance Analysis of a Comparator Based Mixed-Signal Control Loop in 28 nm CMOS.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

2017

2016
A Biological-Realtime Neuromorphic System in 28 nm CMOS Using Low-Leakage Switched Capacitor Circuits.
IEEE Trans. Biomed. Circuits Syst., 2016

2015
An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture With Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS.
IEEE J. Solid State Circuits, 2015

An all-digital PWM generator with 62.5ps resolution in 28nm CMOS technology.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

2014
A 12-b 4-MS/s SAR ADC With Configurable Redundancy in 28-nm CMOS Technology.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

Switched-Capacitor Realization of Presynaptic Short-Term-Plasticity and Stop-Learning Synapses in 28 nm CMOS.
CoRR, 2014

A 10 bit 16 MS/s redundant SAR ADC with flexible window function for a digitally controlled DC-DC converter in 28 nm CMOS.
Proceedings of the 2014 NORCHIP, Tampere, Finland, October 27-28, 2014, 2014

A compact on-chip IR-drop measurement system in 28 nm CMOS technology.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

2013
A Fast-Locking ADPLL With Instantaneous Restart Capability in 28-nm CMOS Technology.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Hybrid incremental-ΣΔ-ADC for ambient light sensing applications.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Rapid prototyping of higher order incremental ΣΔ-ADC topologies and NTFs.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

Analysis of a charge redistribution SAR ADC with partially thermometer coded DAC.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

Behavioral model of a continuous current integrator with time discrete feedback and sampling.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

2012
A 32 GBit/s communication SoC for a waferscale neuromorphic system.
Integr., 2012

A source-synchronous 90Gb/s capacitively driven serial on-chip link over 6mm in 65nm CMOS.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A 14 bit self-calibrating charge redistribution SAR ADC.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012


  Loading...