Mattis Hasler

Orcid: 0000-0001-7979-674X

According to our database1, Mattis Hasler authored at least 19 papers between 2016 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Towards efficient resource allocation for embedded systems.
PhD thesis, 2023

Crosstalk-Based Hardware Trojan In Low Power Designs.
Proceedings of the 20th International SoC Design Conference, 2023

Trust-minimized Integration of Third-Party Intellectual Property Cores.
Proceedings of the 20th International SoC Design Conference, 2023

Mitigating Message Passing Interference in Trusted Embedded Platforms.
Proceedings of the 20th International SoC Design Conference, 2023

Implicit Hardware Trojan: Principles and Enabling Methods.
Proceedings of the 20th International SoC Design Conference, 2023

Hiding from Hardware Trojan Detectors by Avoiding Rare Events.
Proceedings of the 30th IEEE International Conference on Electronics, Circuits and Systems, 2023

2022
A Random Linear Network Coding Platform MPSoC Designed in 22nm FDSOI.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Trustworthy Computing for O-RAN: Security in a Latency-Sensitive Environment.
Proceedings of the IEEE Globecom 2022 Workshops, 2022

2020
Slicing FIFOs for On-Chip Memory Bandwidth Exhaustion.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Balancing Dynamic Scheduling Overhead to Maximize SDF Performance.
Proceedings of the 2nd 6G Wireless Summit, 2020

2019
Probabilistic Models for Off-Line Arbiters in Embedded Systems.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

5G-and-Beyond Scalable Machines.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

A Hybrid Execution Approach to Improve the Performance of Dataflow Applications.
Proceedings of the 2019 International SoC Design Conference, 2019

Queue Based Memory Management Unit for Heterogeneous MPSoCs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Statistical Access Interval Prediction for Tightly Coupled Memory Systems.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2019

A Low-Power Scalable Signal Processing Chip Platform for 5G and Beyond - Kachel.
Proceedings of the 53rd Asilomar Conference on Signals, Systems, and Computers, 2019

2018
A Hardware/Software Stack for Heterogeneous Systems.
IEEE Trans. Multi Scale Comput. Syst., 2018

2017

2016


  Loading...