Anand Raghunathan

Orcid: 0000-0002-4624-564X

Affiliations:
  • Purdue University, West Lafayette, USA


According to our database1, Anand Raghunathan authored at least 349 papers between 1994 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

ACM Fellow

ACM Fellow 2023, "For contributions to the design of energy-efficient computing systems".

IEEE Fellow

IEEE Fellow 2010, "For contributions to the design of low-power and secure systems on chip".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
PArtNNer: Platform-Agnostic Adaptive Edge-Cloud DNN Partitioning for Minimizing End-to-End Latency.
ACM Trans. Embed. Comput. Syst., January, 2024

Ev-Edge: Efficient Execution of Event-based Vision Algorithms on Commodity Edge Platforms.
CoRR, 2024

2023
X-Former: In-Memory Acceleration of Transformers.
IEEE Trans. Very Large Scale Integr. Syst., August, 2023

FASTRAIN-GNN: Fast and Accurate Self-Training for Graph Neural Networks.
Trans. Mach. Learn. Res., 2023

Input Compression with Positional Consistency for Efficient Training and Inference of Transformer Neural Networks.
CoRR, 2023

LRMP: Layer Replication with Mixed Precision for Spatial In-memory DNN Accelerators.
CoRR, 2023

Evaluation of STT-MRAM as a Scratchpad for Training in ML Accelerators.
CoRR, 2023

EvoSh: Evolutionary Search with Shaving to Enable Power-Latency Tradeoff in Deep Learning Computing on Embedded Systems.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

TokenDrop + BucketSampler: Towards Efficient Padding-free Fine-tuning of Language Models.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2023, 2023

2022
Contention Grading and Adaptive Model Selection for Machine Vision in Embedded Systems.
ACM Trans. Embed. Comput. Syst., September, 2022

Compute-in-Memory Technologies and Architectures for Deep Learning Workloads.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Ax-BxP: Approximate Blocked Computation for Precision-reconfigurable Deep Neural Network Acceleration.
ACM Trans. Design Autom. Electr. Syst., 2022

A Co-design view of Compute in-Memory with Non-Volatile Elements for Neural Networks.
CoRR, 2022

STeP-CiM: Strain-enabled Ternary Precision Computation-in-Memory based on Non-Volatile 2D Piezoelectric Transistors.
CoRR, 2022

Layerwise Disaggregated Evaluation of Spiking Neural Networks.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

Energy Efficient Cache Design with Piezoelectric FETs.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

AxFormer: Accuracy-driven Approximation of Transformers for Faster, Smaller and more Accurate NLP Models.
Proceedings of the International Joint Conference on Neural Networks, 2022

Seprox: Sequence-Based Approximations for Compressing Ultra-Low Precision Deep Neural Networks.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Approximate Computing and the Efficient Machine Learning Expedition.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

A cross-layer approach to cognitive computing: invited.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Efficient ensembles of graph neural networks.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Efficiency attacks on spiking neural networks.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Approximate Computing for Machine Learning Workloads: A Circuits and Systems Perspective.
Proceedings of the Approximate Computing, 2022

2021
TxSim: Modeling Training of Deep Neural Networks on Resistive Crossbar Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2021

RxNN: A Framework for Evaluating Deep Neural Networks on Resistive Crossbars.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

PIM-DRAM: Accelerating Machine Learning Workloads Using Processing in Commodity DRAM.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

HW/SW Framework for Improving the Safety of Implantable and Wearable Medical Devices.
CoRR, 2021

Efficacy of Pruning in Ultra-Low Precision DNNs.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Value Similarity Extensions for Approximate Computing in General-Purpose Processors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Softermax: Hardware/Software Co-Design of an Efficient Softmax for Transformers.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

GNNerator: A Hardware/Software Framework for Accelerating Graph Neural Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Contention-aware Adaptive Model Selection for Machine Vision in Embedded Systems.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

Design Tools for Resistive Crossbar based Machine Learning Accelerators.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Approximate Memory Compression.
IEEE Trans. Very Large Scale Integr. Syst., 2020

TiM-DNN: Ternary In-Memory Accelerator for Deep Neural Networks.
IEEE Trans. Very Large Scale Integr. Syst., 2020

CxDNN: Hardware-software Compensation Methods for Deep Neural Networks on Resistive Crossbar Systems.
ACM Trans. Embed. Comput. Syst., 2020

DyVEDeep: Dynamic Variable Effort Deep Neural Networks.
ACM Trans. Embed. Comput. Syst., 2020

Logic Synthesis of Approximate Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Sparsity Turns Adversarial: Energy and Latency Attacks on Deep Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Resistive Crossbars as Approximate Hardware Building Blocks for Machine Learning: Opportunities and Challenges.
Proc. IEEE, 2020

Optimizing Transformers with Approximate Computing for Faster, Smaller and more Accurate NLP Models.
CoRR, 2020

Adversarial Sparsity Attacks on Deep Neural Networks.
CoRR, 2020

Gradual Channel Pruning While Training Using Feature Relevance Scores for Convolutional Neural Networks.
IEEE Access, 2020

Pruning Filters while Training for Efficiently Optimizing Deep Learning Networks.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

A Case for Generalizable DNN Cost Models for Mobile Devices.
Proceedings of the IEEE International Symposium on Workload Characterization, 2020

EMPIR: Ensembles of Mixed Precision Deep Networks for Increased Robustness Against Adversarial Attacks.
Proceedings of the 8th International Conference on Learning Representations, 2020

Ternary Compute-Enabled Memory using Ferroelectric Transistors for Accelerating Deep Neural Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Communication-efficient View-Pooling for Distributed Multi-View Neural Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Sparsity-Aware Caches to Accelerate Deep Neural Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Emerging Neural Workloads and Their Impact on Hardware.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
SparCE: Sparsity Aware General-Purpose Core Extensions to Accelerate Deep Neural Networks.
IEEE Trans. Computers, 2019

Neural network accelerator design with resistive crossbars: Opportunities and challenges.
IBM J. Res. Dev., 2019

Valley-Coupled-Spintronic Non-Volatile Memories with Compute-In-Memory Support.
CoRR, 2019

Manna: An Accelerator for Memory-Augmented Neural Networks.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

Non-Volatile Memory utilizing Reconfigurable Ferroelectric Transistors to enable Differential Read and Energy-Efficient In-Memory Computation.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Dynamic Spike Bundling for Energy-Efficient Spiking Neural Networks.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Data Subsetting: A Data-Centric Approach to Approximate Computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

X-MANN: A Crossbar based Architecture for Memory Augmented Neural Networks.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Pack and Detect: Fast Object Detection in Videos Using Region-of-Interest Packing.
Proceedings of the ACM India Joint International Conference on Data Science and Management of Data, 2019

Automatic Synthesis Techniques for Approximate Circuits.
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019

2018
Computing in Memory With Spin-Transfer Torque Magnetic RAM.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Approximate Computing for Long Short Term Memory (LSTM) Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Energy-Efficient Neural Computing with Approximate Multipliers.
ACM J. Emerg. Technol. Comput. Syst., 2018

Energy Efficient Neural Computing: A Study of Cross-Layer Approximations.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

A Quality-Configurable Approximate Serial Bus for Energy-Efficient Sensory Data Transfer.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Guest Editors' Introduction.
IEEE Embed. Syst. Lett., 2018

Rx-Caffe: Framework for evaluating and training Deep Neural Networks on Resistive Crossbars.
CoRR, 2018

SYNCVIBE: Fast and Secure Device Pairing through Physical Vibration on Commodity Smartphones.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

AxBA: an approximate bus architecture framework.
Proceedings of the International Conference on Computer-Aided Design, 2018

ACCLIB: Accelerators as libraries.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Computing-in-memory with spintronics.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Energy-Efficient Reduce-and-Rank Using Input-Adaptive Approximations.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Energy-Efficient Object Detection Using Semantic Decomposition.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Approximate Error Detection With Stochastic Checkers.
IEEE Trans. Very Large Scale Integr. Syst., 2017

DISASTER: Dedicated Intelligent Security Attacks on Sensor-Triggered Emergency Responses.
IEEE Trans. Multi Scale Comput. Syst., 2017

Wearable Medical Sensor-Based System Design: A Survey.
IEEE Trans. Multi Scale Comput. Syst., 2017

Design and Management of Battery-Supercapacitor Hybrid Electrical Energy Storage Systems for Regulation Services.
IEEE Trans. Multi Scale Comput. Syst., 2017

CABA: Continuous Authentication Based on BioAura.
IEEE Trans. Computers, 2017

DyVEDeep: Dynamic Variable Effort Deep Neural Networks.
CoRR, 2017

Model-based Iterative CT Image Reconstruction on GPUs.
Proceedings of the 22nd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2017

A Programmable Event-driven Architecture for Evaluating Spiking Neural Networks.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Approximate memory compression for energy-efficiency.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

AXSERBUS: A quality-configurable approximate serial bus for energy-efficient sensing.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

ScaleDeep: A Scalable Compute Architecture for Learning and Evaluating Deep Networks.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

Approximate computing for spiking neural networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

STAxCache: An approximate, energy efficient STT-MRAM cache.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

A Pathway to Enable Exponential Scaling for the Beyond-CMOS Era: Invited.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
EMBIRA: An Accelerator for Model-Based Iterative Reconstruction.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Emulation-Based Analysis of System-on-Chip Performance Under Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Embedding Read-Only Memory in Spin-Transfer Torque MRAM-Based On-Chip Caches.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Physiological Information Leakage: A New Frontier in Health Information Security.
IEEE Trans. Emerg. Top. Comput., 2016

Spin-Transfer Torque Devices for Logic and Memory: Prospects and Perspectives.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Cache Design with Domain Wall Memory.
IEEE Trans. Computers, 2016

Spin-Transfer Torque Memories: Devices, Circuits, and Systems.
Proc. IEEE, 2016

Yield, Area, and Energy Optimization in STT-MRAMs Using Failure-Aware ECC.
ACM J. Emerg. Technol. Comput. Syst., 2016

Asymmetric Underlapped FinFETs for Near- and Super-Threshold Logic at Sub-10nm Technology Nodes.
ACM J. Emerg. Technol. Comput. Syst., 2016

Integrated Systems in the More-Than-Moore Era: Designing Low-Cost Energy-Efficient Systems Using Heterogeneous Components.
IEEE Des. Test, 2016

Approximate Computing.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Neuromorphic Computing Enabled by Spin-Transfer Torque Devices.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

High performance model based image reconstruction.
Proceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2016

STOCK: Stochastic Checkers for Low-overhead Approximate Error Detection.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Multiplier-less Artificial Neurons exploiting error resiliency for energy-efficient neural computing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Approximation through logic isolation for the design of quality configurable circuits.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Invited - Cross-layer approximations for neuromorphic computing: from devices to circuits and systems.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Designing approximate circuits using clock overgating.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Efficient embedded learning for IoT devices.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Joint Work and Voltage/Frequency Scaling for Quality-Optimized Dynamic Thermal Management.
IEEE Trans. Very Large Scale Integr. Syst., 2015

An Application Adaptation Approach to Mitigate the Impact of Dynamic Thermal Management on Video Encoding.
ACM Trans. Design Autom. Electr. Syst., 2015

Energy-Efficient Long-term Continuous Personal Health Monitoring.
IEEE Trans. Multi Scale Comput. Syst., 2015

Systematic Poisoning Attacks on and Defenses for Machine Learning in Healthcare.
IEEE J. Biomed. Health Informatics, 2015

Exploring Spin-Transfer-Torque Devices for Logic Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Energy-Efficient All-Spin Cache Hierarchy Using Shift-Based Writes and Multilevel Storage.
ACM J. Emerg. Technol. Comput. Syst., 2015

Object Detection using Semantic Decomposition for Energy-Efficient Neural Computing.
CoRR, 2015

Approximate Computing: An Energy-Efficient Computing Technique for Error Resilient Applications.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Spintastic: <u>spin</u>-based s<u>t</u>och<u>astic</u> logic for energy-efficient computing.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Computing approximately, and efficiently.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

DyReCTape: a <u>dy</u>namically <u>re</u>configurable <u>c</u>ache using domain wall memory <u>tape</u>s.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Quality configurable reduce-and-rank for energy efficient approximate computing.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Asymmetric underlapped FinFET based robust SRAM design at 7nm node.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Scalable-effort classifiers for energy-efficient machine learning.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Approximate computing and the quest for computing efficiency.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Approximate storage for energy efficient spintronic memories.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Vibration-based secure side channel for medical devices.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Scalable Effort Hardware Design.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Trustworthiness of Medical Devices and Body Area Networks.
Proc. IEEE, 2014

A defense framework against malware and vulnerability exploits.
Int. J. Inf. Sec., 2014

STT-SNN: A Spin-Transfer-Torque Based Soft-Limiting Non-Linear Neuron for Low-Power Artificial Neural Networks.
CoRR, 2014

ShuffleWatcher: Shuffle-aware Scheduling in Multi-tenant MapReduce Clusters.
Proceedings of the 2014 USENIX Annual Technical Conference, 2014

AxNN: energy-efficient neuromorphic systems using approximate computing.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

SPINDLE: SPINtronic deep learning engine for large-scale neuromorphic computing.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Variation tolerant design of a vector processor for recognition, mining and synthesis.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

StoRM: a stochastic recognition and mining processor.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

STAG: Spintronic-Tape Architecture for GPGPU cache hierarchies.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

Design and management of hybrid electrical energy storage systems for regulation services.
Proceedings of the International Green Computing Conference, 2014

Approximate computing for efficient information processing.
Proceedings of the 12th IEEE Symposium on Embedded Systems for Real-time Multimedia, 2014

ASLAN: Synthesis of approximate sequential circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Variation Aware Cache Partitioning for Multithreaded Programs.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Managing the Quality vs. Efficiency Trade-off Using Dynamic Effort Scaling.
ACM Trans. Embed. Comput. Syst., 2013

Low-Power Digital Signal Processing Using Approximate Adders.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

MedMon: Securing Medical Devices Through Wireless Monitoring and Anomaly Detection.
IEEE Trans. Biomed. Circuits Syst., 2013

Improving the Trustworthiness of Medical Device Software with Formal Verification Methods.
IEEE Embed. Syst. Lett., 2013

Energy-efficient and Secure Sensor Data Transmission Using Encompression.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

Emerging Frontiers in Embedded Security.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

Localized Heating for Building Energy Efficiency.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

Reading spin-torque memory with spin-torque sensors.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2013

Quality programmable vector processors for approximate computing.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013

Multi-level magnetic RAM using domain wall shift for energy-efficient, high-density caches.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

Approximate computing: Energy-efficient computing with good-enough results.
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013

DWM-TAPESTRI - an energy efficient all-spin cache using domain wall shift based writes.
Proceedings of the Design, Automation and Test in Europe, 2013

Substitute-and-simplify: a unified design paradigm for approximate and quality configurable circuits.
Proceedings of the Design, Automation and Test in Europe, 2013

Towards trustworthy medical devices and body area networks.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Relax-and-retime: a methodology for energy-efficient recovery based design.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Analysis and characterization of inherent application resilience for approximate computing.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Energy-efficient recognition and mining processor using scalable effort design.
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013

Approximate computing: An integrated hardware approach.
Proceedings of the 2013 Asilomar Conference on Signals, 2013

2012
CLIP: Circuit Level IC Protection Through Direct Injection of Process Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Variation-Aware Voltage Level Selection.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Trusted Virtual Machine in an Untrusted Management Environment.
IEEE Trans. Serv. Comput., 2012

Secure reconfiguration of software-defined radio.
ACM Trans. Embed. Comput. Syst., 2012

INVISIOS: A Lightweight, Minimally Intrusive Secure Execution Environment.
ACM Trans. Embed. Comput. Syst., 2012

Guest Editors' Introduction: Green Buildings.
IEEE Des. Test Comput., 2012

Automatic generation of software pipelines for heterogeneous parallel systems.
Proceedings of the SC Conference on High Performance Computing Networking, 2012

TapeCache: a high density, energy efficient cache based on domain wall memory.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Adaptation of video encoding to address dynamic thermal management effects.
Proceedings of the 2012 International Green Computing Conference, 2012

Functional analysis of circuits under timing variations.
Proceedings of the 17th IEEE European Test Symposium, 2012

On Modeling and Evaluation of Logic Circuits under Timing Variations.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

SALSA: systematic logic synthesis of approximate circuits.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Future cache design using STT MRAMs for improved energy efficiency: devices, circuits and architecture.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Recovery-based design for variation-tolerant SoCs.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

PIC: Partitioned Iterative Convergence for Clusters.
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012

Tarazu: optimizing MapReduce on heterogeneous clusters.
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012

2011
A framework for defending embedded systems against software attacks.
ACM Trans. Embed. Comput. Syst., 2011

Energy efficient many-core processor for recognition and mining using spin-based memory.
Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, 2011

IMPACT: imprecise adders for low-power approximate computing.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

MDR: performance model driven runtime for heterogeneous parallel platforms.
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011

MACACO: Modeling and analysis of circuits for approximate computing.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Design of voltage-scalable meta-functions for approximate computing.
Proceedings of the Design, Automation and Test in Europe, 2011

VESPA: Variability emulation for System-on-Chip performance analysis.
Proceedings of the Design, Automation and Test in Europe, 2011

Dynamic effort scaling: managing the quality-efficiency tradeoff.
Proceedings of the 48th Design Automation Conference, 2011

2010
Variation-Aware System-Level Power Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Special session 11B: Hot topic hardware security: Design, test and verification issues.
Proceedings of the 28th IEEE VLSI Test Symposium, 2010

Integrated Systems in the More-than-Moore Era: Designing Low-Cost Energy-Efficient Systems Using Heterogeneous Components.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

Exploiting the forgiving nature of applications for scalable parallel execution.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Scalable effort hardware design: exploiting algorithmic resilience for energy efficiency.
Proceedings of the 47th Design Automation Conference, 2010

Best-effort computing: re-thinking parallel software and hardware.
Proceedings of the 47th Design Automation Conference, 2010

Best-effort semantic document search on GPUs.
Proceedings of 3rd Workshop on General Purpose Processing on Graphics Processing Units, 2010

Secure Virtual Machine Execution under an Untrusted Management OS.
Proceedings of the IEEE International Conference on Cloud Computing, 2010

A Secure User Interface for Web Applications Running Under an Untrusted Operating System.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

2009
Variation-Tolerant Dynamic Power Management at the System-Level.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Coping with Variations through System-Level Design.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

A framework for efficient and scalable execution of domain-specific templates on GPUs.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

Best-effort parallel execution framework for Recognition and mining applications.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

An architecture for secure software defined radio.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Dynamically Configurable Bus Topologies for High-Performance On-Chip Communication.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Systematic Software-Based Self-Test for Pipelined Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Analysis and design of a hardware/software trusted platform module for embedded systems.
ACM Trans. Embed. Comput. Syst., 2008

Dynamic Binary Instrumentation-Based Framework for Malware Defense.
Proceedings of the Detection of Intrusions and Malware, 2008

Efficient Software Architecture for IPSec Acceleration Using a Programmable Security Processor.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Aiding Side-Channel Attacks on Cryptographic Software With Satisfiability-Based Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Generation of Heterogeneous Distributed Architectures for Memory-Intensive Applications Through High-Level Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Exploring Software Partitions for Fast Security Processing on a Multiprocessor Mobile SoC.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Architectural Support for Run-Time Validation of Program Data Properties.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Hybrid Architectures for Efficient and Secure Face Authentication in Embedded Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Energy-optimizing source code transformations for operating system-driven embedded software.
ACM Trans. Embed. Comput. Syst., 2007

A Synthesis Methodology for Hybrid Custom Instruction and Coprocessor Generation for Extensible Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Hybrid Simulation for Energy Estimation of Embedded Software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Automated Energy/Performance Macromodeling of Embedded Software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Guest Editors' Introduction: Security and Trust in Embedded-Systems Design.
IEEE Des. Test Comput., 2007

Automatic Power Modeling of Infrastructure IP for System-on-Chip Power Analysis.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Energy and execution time analysis of a software-based trusted platform module.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Accelerating System-on-Chip Power Analysis Using Hybrid Power Estimation.
Proceedings of the 44th Design Automation Conference, 2007

System-on-Chip Power Management Considering Leakage Power Variations.
Proceedings of the 44th Design Automation Conference, 2007

2006
A Scalable Synthesis Methodology for Application-Specific Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

The LOTTERYBUS on-chip communication architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Hardware-Assisted Run-Time Monitoring for Secure Program Execution on Embedded Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

A Study of the Energy Consumption Characteristics of Cryptographic Algorithms and Security Protocols.
IEEE Trans. Mob. Comput., 2006

RTL-Aware Cycle-Accurate Functional Power Estimation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Application-specific heterogeneous multiprocessor synthesis using extensible processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Test-Volume Reduction in Systems-on-a-Chip Using Heterogeneous and Multilevel Compression Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Use of Computation-Unit Integrated Memories in High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Hybrid Custom Instruction and Co-Processor Synthesis Methodology for Extensible Processors.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Impact of Configurability and Extensibility on IPSec Protocol Execution on Embedded Processors.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Safe Java Native Interface.
Proceedings of the 2006 IEEE International Symposium on Secure Software Engineering, 2006

Considering process variations during system-level power analysis.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Active Learning Driven Data Acquisition for Sensor Networks.
Proceedings of the 11th IEEE Symposium on Computers and Communications (ISCC 2006), 2006

Adaptive data placement in an embedded multiprocessor thread library.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Integrated data relocation and bus reconfiguration for adaptive system-on-chip platforms.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Satisfiability-based framework for enabling side-channel attacks on cryptographic software.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

Architectures for efficient face authentication in embedded systems.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006

Systematic software-based self-test for pipelined processors.
Proceedings of the 43rd Design Automation Conference, 2006

Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC.
Proceedings of the 43rd Design Automation Conference, 2006

Architectural support for safe software execution on embedded processors.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

2005
Energy macromodeling of embedded operating systems.
ACM Trans. Embed. Comput. Syst., 2005

Input space-adaptive optimization for embedded-software synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Generation of distributed logic-memory architectures through high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Synthesis of Application-Specific Heterogeneous Multiprocessor Architectures Using Extensible Processors.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Heterogeneous and Multi-Level Compression Techniques for Test Volume Reduction in Systems-on-Chip.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Power Monitors: A Framework for System-Level Power Estimation Using Heterogeneous Power Models.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Battery discharge characteristics of wireless sensor nodes: an experimental analysis.
Proceedings of the Second Annual IEEE Communications Society Conference on Sensor and Ad Hoc Communications and Networks, 2005

Hardware Accelerated Power Estimation.
Proceedings of the 2005 Design, 2005

Secure Embedded Processing through Hardware-Assisted Run-Time Monitoring.
Proceedings of the 2005 Design, 2005

FLEXBUS: a high-performance system-on-chip communication architecture with a dynamically configurable topology.
Proceedings of the 42nd Design Automation Conference, 2005

Hybrid simulation for embedded software energy estimation.
Proceedings of the 42nd Design Automation Conference, 2005

Efficient fingerprint-based user authentication for embedded systems.
Proceedings of the 42nd Design Automation Conference, 2005

Power emulation: a new paradigm for power estimation.
Proceedings of the 42nd Design Automation Conference, 2005

Enhancing security through hardware-assisted run-time validation of program data properties.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

Eliminating memory bottlenecks for a JPEG encoder through distributed logic-memory architecture and computation-unit integrated memory.
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005

SECA: security-enhanced communication architecture.
Proceedings of the 2005 International Conference on Compilers, 2005

2004
Input space adaptive design: a high-level methodology for optimizing energy and performance.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Security in embedded systems: Design challenges.
ACM Trans. Embed. Comput. Syst., 2004

Resource budgeting for Multiprocess High-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Custom-instruction synthesis for extensible-processor platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Common-case computation: a high-level energy and performance optimization technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Design of high-performance system-on-chips using communication architecture tuners.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Design space exploration for optimizing on-chip communication architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Efficient power profiling for battery-driven embedded system design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

A hybrid energy-estimation technique for extensible processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

Profiling Driven Computation Reuse: An Embedded Software Synthesis Technique for Energy and Performance Optimization.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Tamper Resistance Mechanisms for Secure, Embedded Systems.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Energy-Optimizing Source Code Transformations for OS-driven Embedded Software.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Power estimation for cycle-accurate functional descriptions of hardware.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

High-level synthesis using computation-unit integrated memories.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Security as a new dimension in embedded system design.
Proceedings of the 41th Design Automation Conference, 2004

An Energy-Aware Synthesis Methodology for OS-Driven Multi-Process Embedded Software.
Proceedings of the International Conference on Embedded Systems and Applications, 2004

Power analysis of system-level on-chip communication architectures.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004

2003
High-level macro-modeling and estimation techniques for switching activity and power consumption.
IEEE Trans. Very Large Scale Integr. Syst., 2003

A simulation framework for energy-consumption analysis of OS-driven embedded applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Analysis of power dissipation in embedded systems using real-time operating systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

High-level Synthesis of Multi-process Behavioral Descriptions.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Efficient RTL Power Estimation for Large Designs.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Embedding Security in Wireless Embedded Systems.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Analyzing the energy consumption of security protocols.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

A Scalable Application-Specific Processor Synthesis Methodology.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Synthesis of Heterogeneous Distributed Architectures for Memory-Intensive Applications.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

A comprehensive high-level synthesis system for control-flow intensive behaviors.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

Software Architectural Transformations: A New Approach to Low Energy Embedded Software.
Proceedings of the 2003 Design, 2003

Securing Mobile Appliances: New Challenges for the System Designer.
Proceedings of the 2003 Design, 2003

Energy Estimation for Extensible Processors.
Proceedings of the 2003 Design, 2003

Transport Protocol Optimization for Energy Efficient Wireless Embedded Systems.
Proceedings of the 2003 Design, 2003

A scalable software-based self-test methodology for programmable processors.
Proceedings of the 40th Design Automation Conference, 2003

Software Architectural Transformations.
Proceedings of the Embedded Software for SoC, 2003

2002
Cosimulation-based power estimation for system-on-chip design.
IEEE Trans. Very Large Scale Integr. Syst., 2002

High-level energy macromodeling of embedded software.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Communication-Based Power Management.
IEEE Des. Test Comput., 2002

Innovations in Test Automation.
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002

Input Space Adaptive Embedded Software Synthesis.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

High-Level Synthesis with SIMD Units.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Embedded Tutorial: Battery-Driven System Design: A New Frontier in Low Power Design.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Securing Wireless Data: System Architecture Challenges.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

Embedded Operating System Energy Analysis and Macro-Modeling.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

Synthesis of custom processors based on extensible platforms.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

High-level synthesis of distributed logic-memory architectures.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Optimizing public-key encryption for wireless clients.
Proceedings of the IEEE International Conference on Communications, 2002

Battery-efficient architecture for an 802.11 MAC processor.
Proceedings of the IEEE International Conference on Communications, 2002

System design methodologies for a wireless security processing platform.
Proceedings of the 39th Design Automation Conference, 2002

Communication architecture based power management for battery efficient system design.
Proceedings of the 39th Design Automation Conference, 2002

Fast system-level power profiling for battery-efficient system design.
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, 2002

FLEXBAR: A crossbar switching fabric with improved performance and utilization.
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, 2002

2001
System-level performance analysis for designing on-chipcommunication architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Low-Power Mobile Wireless Communication System Design: Protocols, Architectures, and Design Methodologies.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Accurate Power Macro-modeling Techniques for Complex RTL Circuits.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Battery Life Estimation of Mobile Embedded Systems.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Evaluation of the Traffic-Performance Characteristics of System-on-Chip Communication Architectures.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Transient Power Management Through High Level Synthesis.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Input Space Adaptive Design: A High-level Methodology for Energy and Performance Optimization.
Proceedings of the 38th Design Automation Conference, 2001

High-level Software Energy Macro-modeling.
Proceedings of the 38th Design Automation Conference, 2001

LOTTERYBUS: A New High-Performance Communication Architecture for System-on-Chip Designs.
Proceedings of the 38th Design Automation Conference, 2001

2000
Incorporating speculative execution into scheduling ofcontrol-flow-intensive designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Behavioral Synthesis of Fault Secure Controller/Datapaths Based on Aliasing Probability Analysis.
IEEE Trans. Computers, 2000

Performance Analysis of Systems with Multi-Channel Communication Architectures.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Efficient Exploration of the SoC Communication Architecture Design Space.
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000

Efficient Power Co-Estimation Techniques for System-on-Chip Design.
Proceedings of the 2000 Design, 2000

Communication architecture tuners: a methodology for the design of high-performance communication architectures for systems-on-chips.
Proceedings of the 37th Conference on Design Automation, 2000

Power analysis of embedded operating systems.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Power management in high-level synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 1999

Register transfer level power optimization with emphasis on glitch analysis and reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Hierarchical test generation and design for testability methods for ASPPs and ASIPs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Controller-based power management for control-flow intensive designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Low Power Design Methodologies for Systems-on-Chips.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Verification of Scheduling in the Presence of Loops Using Uninterpreted Symbolic Simulation.
Proceedings of the IEEE International Conference On Computer Design, 1999

Fast performance analysis of bus-based system-on-chip communication architectures.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Common-Case Computation: A High-Level Technique for Power and Performance Optimization.
Proceedings of the 36th Conference on Design Automation, 1999

1998
A design-for-testability technique for register-transfer level circuits using control/data flow extraction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Design for Testability Techniques at the Behavioral and Register-Transfer Levels.
J. Electron. Test., 1998

A Power Management Methodology for High-Level Synthesis.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Transforming control-flow intensive designs to facilitate power management.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Verification of RTL generated from scheduled behavior in a high-level synthesis flow.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Incorporating Speculative Execution into Scheduling of Control-Flow Intensive Behavioral Descriptions.
Proceedings of the 35th Conference on Design Automation, 1998

A case study on modeling shared memory access effects during performance analysis of HW/SW systems.
Proceedings of the Sixth International Workshop on Hardware/Software Codesign, 1998

Considering Testability during High-level Design (Embedded Tutorial).
Proceedings of the ASP-DAC '98, 1998

High-Level Power Analysis and Optimization.
Kluwer, ISBN: 978-0-7923-8073-3, 1998

1997
SCALP: an iterative-improvement-based low-power data path synthesis system.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Design for hierarchical testability of RTL circuits obtained by behavioral synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Bottleneck removal algorithm for dynamic compaction in sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Power Management Techniques for Control-Flow Intensive Designs.
Proceedings of the 34st Conference on Design Automation, 1997

Hierarchical Test Generation and Design for Testability of ASPPs and ASIPs.
Proceedings of the 34st Conference on Design Automation, 1997

1996
Dynamic test Sequence compaction for Sequential Circuits.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Controller re-specification to minimize switching activity in controller/data path circuits.
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996

Register-transfer level estimation techniques for switching activity and power consumption.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

A design for testability technique for RTL circuits using control/data flow extraction.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Behavioral Synthesis of Fault Secure Controller?Datapaths using Aliasing Probability Analysis.
Proceedings of the Digest of Papers: FTCS-26, 1996

Glitch Analysis and Reduction in Register Transfer Level.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Test generation for cyclic combinational circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

An ILP Formulation for Low Power Based on Minimizing Switched Capacitance During Data Path Allocation.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

An iterative improvement algorithm for low power data path synthesis.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Acceleration techniques for dynamic vector compaction.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Bottleneck removal algorithm for dynamic compaction and test cycles reduction.
Proceedings of the Proceedings EURO-DAC'95, 1995

1994
Behavioral Synthesis for low Power.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994


  Loading...