Vinayak Honkote

According to our database1, Vinayak Honkote authored at least 24 papers between 2008 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Action-conditioned Deep Visual Prediction with RoAM, a new Indoor Human Motion Dataset for Autonomous Robots.
Proceedings of the 32nd IEEE International Conference on Robot and Human Interactive Communication, 2023

2022
Resonant Rotary Clock Synchronization with Active and Passive Silicon Interposer.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

2021
PG-RRT: A Gaussian Mixture Model Driven, Kinematically Constrained Bi-directional RRT for Robot Path Planning.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

Multi-Variable State Prediction: HMM Based Approach for Real-Time Trajectory Prediction.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

2020
Design and Integration of a Distributed, Autonomous and Collaborative Multi-Robot System for Exploration in Unknown Environments.
Proceedings of the 2020 IEEE/SICE International Symposium on System Integration, 2020

Dynamic Adaption of Noise Covariance for Accurate Indoor Localization of Mobile Robots in Non-Line-of-Sight Environments.
Proceedings of the IEEE International Conference on Multisensor Fusion and Integration for Intelligent Systems, 2020

Adaptive Directional Path Planner for Real-Time, Energy-Efficient, Robust Navigation of Mobile Robots.
Proceedings of the 2020 IEEE International Conference on Robotics and Automation, 2020

2019
Energy Efficient Communication with Lossless Data Encoding for Swarm Robot Coordination.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019


Kinematic Constraints Based Bi-directional RRT (KB-RRT) with Parameterized Trajectories for Robot Path Planning in Cluttered Environment.
Proceedings of the International Conference on Robotics and Automation, 2019

2017
A Sub-cm<sup>3</sup> Energy-Harvesting Stacked Wireless Sensor Node Featuring a Near-Threshold Voltage IA-32 Microcontroller in 14-nm Tri-Gate CMOS for Always-ON Always-Sensing Applications.
IEEE J. Solid State Circuits, 2017

2016
An energy harvesting wireless sensor node for IoT systems featuring a near-threshold voltage IA-32 microcontroller in 14nm tri-gate CMOS.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

2013
Process Variation Sensitivities of Rotary Traveling Wave and Mobius Standing Wave Oscillators.
Proceedings of the 2013 International Symposium on Electronic System Design, 2013

2012
ZeROA: Zero Clock Skew Rotary Oscillatory Array.
IEEE Trans. Very Large Scale Integr. Syst., 2012

3-D Parasitic Modeling for Rotary Interconnects.
Proceedings of the 25th International Conference on VLSI Design, 2012

2011
CROA: Design and Analysis of the Custom Rotary Oscillatory Array.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Steiner tree based rotary clock routing with bounded skew and capacitive load balancing.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Analysis, Design and Simulation of Capacitive Load Balanced Rotary Oscillatory Array.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

Design Automation and Analysis of Resonant Rotary Clocking Technology.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Skew analysis and bounded skew constraint methodology for rotary clocking technology.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

PEEC based parasitic modeling for power analysis on custom rotary rings.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Skew-aware capacitive load balancing for low-power zero clock skew rotary oscillatory array.
Proceedings of the 28th International Conference on Computer Design, 2010

2009
Zero clock skew synchronization with rotary clocking technology.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

2008
Custom rotary clock router.
Proceedings of the 26th International Conference on Computer Design, 2008


  Loading...