Yifan He

Orcid: 0000-0002-9171-3502

According to our database1, Yifan He authored at least 154 papers between 2007 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
LSAC: A Low-Power Adder Tree for Digital Computing-in-Memory by Sparsity and Approximate Circuits Co-Design.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024

FSPnP: Plug-and-Play Frequency-Spatial-Domain Hybrid Denoiser for Thermal Infrared Image.
IEEE Trans. Geosci. Remote. Sens., 2024

Triplet Contrastive Learning Framework With Adversarial Hard-Negative Sample Generation for Multimodal Remote Sensing Images.
IEEE Trans. Geosci. Remote. Sens., 2024

Evolving Benchmark Functions to Compare Evolutionary Algorithms via Genetic Programming.
CoRR, 2024

Selective Domain-Invariant Feature for Generalizable Deepfake Detection.
CoRR, 2024

34.7 A 28nm 2.4Mb/mm<sup>2</sup> 6.9 - 16.3TOPS/mm<sup>2</sup> eDRAM-LUT-Based Digital-Computing-in-Memory Macro with In-Memory Encoding and Refreshing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

Diversity-Authenticity Co-constrained Stylization for Federated Domain Generalization in Person Re-identification.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
CNN Accelerator at the Edge With Adaptive Zero Skipping and Sparsity-Driven Data Flow.
IEEE Trans. Circuits Syst. Video Technol., December, 2023

Digital twin-based degradation prediction for train electro-pneumatic valve.
Reliab. Eng. Syst. Saf., December, 2023

SiamCCF: Siamese visual tracking via cross-layer calibration fusion.
IET Comput. Vis., December, 2023

Latent feature reconstruction for unsupervised anomaly detection.
Appl. Intell., October, 2023

A Heterogeneous Microprocessor Based on All-Digital Compute-in-Memory for End-to-End AIoT Inference.
IEEE Trans. Circuits Syst. II Express Briefs, August, 2023

Towards Cross-Lingual Multi-Modal Misinformation Detection for E-Commerce Management.
IEEE Trans. Netw. Serv. Manag., June, 2023

Pareto Frequency-Aware Power Side-Channel Countermeasure Exploration on CNN Systolic Array.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2023

A Weight-Reload-Eliminated Compute-in-Memory Accelerator for 60 fps 4K Super-Resolution.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2023

An RRAM-Based Digital Computing-in-Memory Macro With Dynamic Voltage Sense Amplifier and Sparse-Aware Approximate Adder Tree.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

Sagitta: An Energy-Efficient Sparse 3D-CNN Accelerator for Real-Time 3-D Understanding.
IEEE Internet Things J., 2023

The implied views of bond traders on the spot equity market.
Frontiers Appl. Math. Stat., 2023

Anchor-free object detection in remote sensing images using a variable receptive field network.
EURASIP J. Adv. Signal Process., 2023

A Multilevel Guidance-Exploration Network and Behavior-Scene Matching Method for Human Behavior Anomaly Detection.
CoRR, 2023

A 5.6-89.9TOPS/W Heterogeneous Computing-in-Memory SoC with High-Utilization Producer-Consumer Architecture and High-Frequency Read-Free CIM Macro.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

A 28nm 16.9-300TOPS/W Computing-in-Memory Processor Supporting Floating-Point NN Inference/Training with Intensive-CIM Sparse-Digital Architecture.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 28nm 38-to-102-TOPS/W 8b Multiply-Less Approximate Digital SRAM Compute-In-Memory Macro for Neural-Network Inference.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A User-Friendly Fast and Accurate Simulation Framework for Non-Ideal Factors in Computing-in-Memory Architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

An Energy-Efficient 3D Point Cloud Neural Network Accelerator With Efficient Filter Pruning, MLP Fusion, and Dual-Stream Sampling.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Transplayer: Timbre Style Transfer with Flexible Timbre Control.
Proceedings of the IEEE International Conference on Acoustics, 2023

Fitness Landscape Analysis of Genetic Programming Search Spaces with Local Optima Networks.
Proceedings of the Companion Proceedings of the Conference on Genetic and Evolutionary Computation, 2023

2022
Infrared Small Target Detection via Interpatch Correlation Enhancement and Joint Local Visual Saliency Prior.
IEEE Trans. Geosci. Remote. Sens., 2022

Tensorial Multiview Subspace Clustering for Polarimetric Hyperspectral Images.
IEEE Trans. Geosci. Remote. Sens., 2022

Bit-Aware Fault-Tolerant Hybrid Retraining and Remapping Schemes for RRAM-Based Computing-in-Memory Systems.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Accuracy Optimization With the Framework of Non-Volatile Computing-In-Memory Systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

STICKER-IM: A 65 nm Computing-in-Memory NN Processor Using Block-Wise Sparsity Optimization and Inter/Intra-Macro Data Reuse.
IEEE J. Solid State Circuits, 2022

Timing-Oriented Task Offloading Algorithms for Internet-of-Vehicles.
J. Circuits Syst. Comput., 2022

The effect of interactive factors on online health consultation review deviation: An empirical investigation.
Int. J. Medical Informatics, 2022

Facial skin colour classification using machine learning and hyperspectral imaging data.
IET Image Process., 2022

Noisy Label Detection for Speaker Recognition.
CoRR, 2022

Text-Aware Dual Routing Network for Visual Question Answering.
CoRR, 2022

Tight Error Bounds for Nonnegative Orthogonality Constraints and Exact Penalties.
CoRR, 2022

Distributed Feature Selection for High-dimensional Additive Models.
CoRR, 2022

Data-driven online traffic reconstructions: Interactively optimizing in virtual reality.
Comput. Graph., 2022

Operational strategies for IoT-enabled Brick-and-Mortar retailers in a competitive market.
Comput. Ind. Eng., 2022

PBDE: an effective post-processing method based on box density for object detection.
Appl. Intell., 2022

Mixed-Precision Continual Learning Based on Computational Resistance Random Access Memory.
Adv. Intell. Syst., 2022

VLF Mechanical Antenna Arrays for Underwater Wireless Communications.
Proceedings of the WUWNet 2022: The 16th International Conference on Underwater Networks & Systems, 2022

Knowledge-Driven Program Synthesis via Adaptive Replacement Mutation and Auto-constructed Subprogram Archives.
Proceedings of the IEEE Symposium Series on Computational Intelligence, 2022

C-RRAM: A Fully Input Parallel Charge-Domain RRAM-based Computing-in-Memory Design with High Tolerance for RRAM Variations.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Multi-Modal Learning with Text Merging for TEXTVQA.
Proceedings of the IEEE International Conference on Acoustics, 2022

An Efficient Framework for Detection and Recognition of Numerical Traffic Signs.
Proceedings of the IEEE International Conference on Acoustics, 2022

Incorporating sub-programs as knowledge in program synthesis by PushGP and adaptive replacement mutation.
Proceedings of the GECCO '22: Genetic and Evolutionary Computation Conference, Companion Volume, Boston, Massachusetts, USA, July 9, 2022

QBF Solving Using Best First Search.
Proceedings of the Computers and Games - International Conference, 2022

Sparsity-Aware Non-Volatile Computing-In-Memory Macro with Analog Switch Array and Low-Resolution Current-Mode ADC.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

An LUT-Based Multiplier Array for Systolic Array-Based Convolutional Neural Network Accelerator.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
Machine Learning for Electronic Design Automation: A Survey.
ACM Trans. Design Autom. Electr. Syst., 2021

TARA-Net: A Fusion Network for Detecting Takeaway Rider Accidents.
ACM Trans. Intell. Syst. Technol., 2021

An Effective Face Anti-Spoofing Method via Stereo Matching.
IEEE Signal Process. Lett., 2021

Stack-based Scale-recurrent Network for Face Image Deblurring.
Neural Process. Lett., 2021

Multiscale Local Gray Dynamic Range Method for Infrared Small-Target Detection.
IEEE Geosci. Remote. Sens. Lett., 2021

Fault diagnosis based on deep learning by extracting inherent common feature of multi-source heterogeneous data.
J. Syst. Control. Eng., 2021

Correction to: A compression pipeline for one-stage object detection model.
J. Real Time Image Process., 2021

A compression pipeline for one-stage object detection model.
J. Real Time Image Process., 2021

A Chinese Multi-type Complex Questions Answering Dataset over Wikidata.
CoRR, 2021

A 2.75-to-75.9TOPS/W Computing-in-Memory NN Processor Supporting Set-Associate Block-Wise Zero Skipping and Ping-Pong CIM with Simultaneous Computation and Weight Updating.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Continual Learning with Laplace Operator Based Node-Importance Dynamic Architecture Neural Network.
Proceedings of the Neural Information Processing - 28th International Conference, 2021

An Energy-Efficient Low-Latency 3D-CNN Accelerator Leveraging Temporal Locality, Full Zero-Skipping, and Hierarchical Load Balance.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

damo_nlp at MEDIQA 2021: Knowledge-based Preprocessing and Coverage-oriented Reranking for Medical Question Summarization.
Proceedings of the 20th Workshop on Biomedical Language Processing, 2021

A Non-Volatile Computing-In-Memory Framework With Margin Enhancement Based CSA and Offset Reduction Based ADC.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Block-Circulant Neural Network Accelerator Featuring Fine-Grained Frequency-Domain Quantization and Reconfigurable FFT Modules.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Efficient Zero-Activation-Skipping for On-Chip Low-Energy CNN Acceleration.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
Internal Learning for Image Super-Resolution by Adaptive Feature Transform.
Symmetry, 2020

A Review of Thin-Film Magnetoelastic Materials for Magnetoelectric Applications.
Sensors, 2020

Adaptive and efficient high-order rating distance optimization model with slack variable.
Knowl. Based Syst., 2020

Application of agricultural insect pest detection and control map based on image processing analysis.
J. Intell. Fuzzy Syst., 2020

Adaptive Importance Channel Selection for Perceptual Image Compression.
KSII Trans. Internet Inf. Syst., 2020

Learning Deep Interleaved Networks with Asymmetric Co-Attention for Image Restoration.
CoRR, 2020

Deep Interleaved Network for Image Super-Resolution With Asymmetric Co-Attention.
CoRR, 2020

Temperature Analysis Based on Multi-Coupling Field and Ampacity Optimization Calculation of Shore Power Cable Considering Tide Effect.
IEEE Access, 2020

Solving Portfolio Optimization Problems Using MOEA/D and Lévy Flight.
Adv. Data Sci. Adapt. Anal., 2020

Robust Layout-aware IE for Visually Rich Documents with Pre-trained Language Models.
Proceedings of the 43rd International ACM SIGIR conference on research and development in Information Retrieval, 2020

14.3 A 65nm Computing-in-Memory-Based CNN Processor with 2.9-to-35.8TOPS/W System Energy Efficiency Using Dynamic-Sparsity Performance-Scaling Architecture and Energy-Efficient Inter/Intra-Macro Data Reuse.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Deep Interleaved Network for Single Image Super-Resolution with Asymmetric Co-Attention.
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020

PBDE: An Effective Method for Filtering False Positive Boxes in Object Detection.
Proceedings of the 16th International Conference on Control, 2020

Parameter Evolution Self-Adaptive Strategy and Its Application for Cuckoo Search.
Proceedings of the Bioinspired Optimization Methods and Their Applications, 2020

2019
Ionic Liquid-Polypyrrole-Gold Composites as Enhanced Enzyme Immobilization Platforms for Hydrogen Peroxide Sensing.
Sensors, 2019

Workload-aware harmonic partitioned scheduling for fixed-priority probabilistic real-time tasks on multiprocessors.
J. Syst. Archit., 2019

Multi-Instance Learning for End-to-End Knowledge Base Question Answering.
CoRR, 2019

Automatic Memory-Efficient Scheduling of CNNs.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Diversity-Aware Recommendation by User Interest Domain Coverage Maximization.
Proceedings of the 2019 IEEE International Conference on Data Mining, 2019

Text-Based Image Style Transfer and Synthesis.
Proceedings of the 8th International Workshop on Camera-Based Document Analysis and Recognition, 2019

Enriching Pre-trained Language Model with Entity Information for Relation Classification.
Proceedings of the 28th ACM International Conference on Information and Knowledge Management, 2019

2018
Single Image Super-Resolution Based on Multi-Scale Competitive Convolutional Neural Network.
Sensors, 2018

Crosstalk-noise-aware bus coding with low-power ground-gated repeaters.
Int. J. Circuit Theory Appl., 2018

The Termolator: Terminology Recognition Based on Chunking, Statistical and Search-Based Scores.
Frontiers Res. Metrics Anal., 2018

Hotel Cancellation Strategies Under Online Advanced Booking.
Proceedings of the 2018 IEEE International Conference on Industrial Engineering and Engineering Management, 2018

Datawidth-Aware Energy-Efficient Multipliers: A Case for Going Sign Magnitude.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

2017
Comparison between CAN and CAN FD: A Quantified Approach.
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017

Single image super-resolution via multi-scale fusion convolutional neural network.
Proceedings of the IEEE 8th International Conference on Awareness Science and Technology, 2017

The Effect of Emotion in an Ultimatum Game: The Bio-Feedback Evidence.
Proceedings of the HCI International 2017 - Posters' Extended Abstracts, 2017

An algorithm based on photo consistency for image feature point matching.
Proceedings of the 10th International Congress on Image and Signal Processing, 2017

STM32-based vehicle data acquisition system for Internet-of-Vehicles.
Proceedings of the 16th IEEE/ACIS International Conference on Computer and Information Science, 2017

2016
RQNoC: A Resilient Quality-of-Service Network-on-Chip with Service Redirection.
ACM Trans. Embed. Comput. Syst., 2016

A configurable SIMD architecture with explicit datapath for intelligent learning.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

The Interaction between SFP-Ne and SpOAs in Mandarin Chinese-A corpus based approach.
Proceedings of the 30th Pacific Asia Conference on Language, Information and Computation, 2016

Entity Linking with a Paraphrase Flavor.
Proceedings of the Tenth International Conference on Language Resources and Evaluation LREC 2016, 2016

Pareto Optimal Scheduling for Synchronous Data Flow Graphs on Heterogeneous Multiprocessor.
Proceedings of the 21st International Conference on Engineering of Complex Computer Systems, 2016

MacSim: A MAC-Enabled High-Performance Low-Power SIMD Architecture.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016

On the Intersubjectivity of Sentence Final Particle-Ne - -A Case Study between Degree Modifiers and SFP-Ne.
Proceedings of the Chinese Lexical Semantics - 17th Workshop, 2016

2015
A Low-Energy Wide SIMD Architecture with Explicit Datapath.
J. Signal Process. Syst., 2015

A Co-Design Framework with OpenCL Support for Low-Energy Wide SIMD Processor.
J. Signal Process. Syst., 2015

A New Construction of Multisender Authentication Codes with Simultaneous Model from Singular Symplectic Geometry over Finite Fields.
Ars Comb., 2015

The NYU Cold Start System for TAC 2015.
Proceedings of the 2015 Text Analysis Conference, 2015

Jointly Embedding Relations and Mentions for Knowledge Population.
Proceedings of the Recent Advances in Natural Language Processing, 2015

Personalized Page Rank for Named Entity Disambiguation.
Proceedings of the NAACL HLT 2015, The 2015 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, Denver, Colorado, USA, May 31, 2015

ICE: Rapid Information Extraction Customization for NLP Novices.
Proceedings of the NAACL HLT 2015, The 2015 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, Denver, Colorado, USA, May 31, 2015

The Termolator: Terminology Recognition based on Chunking, Statistical and Search-based Scores.
Proceedings of the First Workshop on Mining Scientific Papers: Computational Linguistics and Bibliometrics co-located with 15th International Society of Scientometrics and Informetrics Conference (ISSI 2015), 2015

Idiom Paraphrases: Seventh Heaven vs Cloud Nine.
Proceedings of the First Workshop on Linking Computational Models of Lexical, 2015

2014
An Information Extraction Customizer.
Proceedings of the Text, Speech and Dialogue - 17th International Conference, 2014

Annotating Relations in Scientific Articles.
Proceedings of the Ninth International Conference on Language Resources and Evaluation, 2014

Corpus and Method for Identifying Citations in Non-Academic Text.
Proceedings of the Ninth International Conference on Language Resources and Evaluation, 2014

Reduction Operator for Wide-SIMDs Reconsidered.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
An energy-efficient method of supporting flexible special instructions in an embedded processor with compact ISA.
ACM Trans. Archit. Code Optim., 2013

Efficient communication support in predictable heterogeneous MPSoC designs for streaming applications.
J. Syst. Archit., 2013

SIMD made explicit.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

OpenCL code generation for low energy wide SIMD architectures with explicit datapath.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

MAMPSx: A design framework for rapid synthesis of predictable heterogeneous MPSoCs.
Proceedings of the 24th IEEE International Symposium on Rapid System Prototyping, 2013

Towards Fine-grained Citation Function Classification.
Proceedings of the Recent Advances in Natural Language Processing, 2013

MAMPSX: A demonstration of rapid, predictable HMPSOC synthesis.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

2012
Scheduling for register file energy minimization in explicit datapath architectures.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Combining Multiple Alignments to Improve Machine Translation.
Proceedings of the COLING 2012, 2012

An Evaluation of Statistical Post-Editing Systems Applied to RBMT and SMT Systems.
Proceedings of the COLING 2012, 2012

Energy efficient special instruction support in an embedded processor with compact isa.
Proceedings of the 15th International Conference on Compilers, 2012

Identifying High-Impact Sub-Structures for Convolution Kernels in Document-level Sentiment Classification.
Proceedings of the 50th Annual Meeting of the Association for Computational Linguistics, Proceedings of the Conference, July 8-14, 2012, Jeju Island, Korea, 2012

2011
From Xetal-II to Xetal-Pro: On the Road Toward an Ultralow-Energy and High-Throughput SIMD Processor.
IEEE Trans. Circuits Syst. Video Technol., 2011

MOVE-Pro: A low power and high code density TTA architecture.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Bottlenecks and Tradeoffs in High Frame Rate Visual Servoing: A Case Study.
Proceedings of the IAPR Conference on Machine Vision Applications (IAPR MVA 2011), 2011

Maximum Rank Correlation Training for Statistical Machine Translation.
Proceedings of Machine Translation Summit XIII: Papers, 2011

Rich Linguistic Features for Translation Memory-Inspired Consistent Translation.
Proceedings of Machine Translation Summit XIII: Papers, 2011

Demo: An embedded vision system for high frame rate visual servoing.
Proceedings of the 2011 Fifth ACM/IEEE International Conference on Distributed Smart Cameras, 2011

Consistent Translation using Discriminative Learning - A Translation Memory-inspired Approach.
Proceedings of the 49th Annual Meeting of the Association for Computational Linguistics: Human Language Technologies, 2011

Feasibility Analysis of Ultra High Frame Rate Visual Servoing on FPGA and SIMD Processor.
Proceedings of the Advances Concepts for Intelligent Vision Systems, 2011

2010
Metric and reference factors in minimum error rate training.
Mach. Transl., 2010

The DCU Dependency-Based Metric in WMT-MetricsMATR 2010.
Proceedings of the Joint Fifth Workshop on Statistical Machine Translation and MetricsMATR, 2010

An algorithm for cross-lingual sense-clustering tested in a MT evaluation setting.
Proceedings of the 2010 International Workshop on Spoken Language Translation, 2010

Xetal-Pro: an ultra-low energy and high throughput SIMD processor.
Proceedings of the 47th Design Automation Conference, 2010

Integrating N-best SMT Outputs into a TM System.
Proceedings of the COLING 2010, 2010

Improving the Post-Editing Experience using Translation Recommendation: A User Study.
Proceedings of the 9th Conference of the Association for Machine Translation in the Americas: Research Papers, 2010

Bridging SMT and TM with Translation Recommendation.
Proceedings of the ACL 2010, 2010

2009
MATREX: The DCU MT System for WMT 2009.
Proceedings of the Fourth Workshop on Statistical Machine Translation, 2009

Capturing Lexical Variation in MT Evaluation Using Automatically Built Sense-Cluster Inventories.
Proceedings of the 23rd Pacific Asia Conference on Language, Information and Computation, 2009

Improving the Objective Function in Minimum Error Rate Training.
Proceedings of Machine Translation Summit XII: Posters, 2009

Learning Labelled Dependencies in Machine Translation Evaluation.
Proceedings of the 13th Annual conference of the European Association for Machine Translation, 2009

2008
Real-time implementations of Hough Transform on SIMD architecture.
Proceedings of the 2008 Second ACM/IEEE International Conference on Distributed Smart Cameras, 2008

Real-Time Hough Transform on 1-D SIMD Processors: Implementation and Architecture Exploration.
Proceedings of the Advanced Concepts for Intelligent Vision Systems, 2008

2007
Automatic Analysis of Chinese Dialect Tones Based on Self-organizing Map.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, 2007

Text Categorization Using Distributional Clustering and Concept Extraction.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, 2007


  Loading...