Atsushi Iwata

According to our database1, Atsushi Iwata authored at least 86 papers between 1982 and 2020.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2020
CMOS Gaussian Monocycle Pulse Transceiver for Radar-Based Microwave Imaging.
IEEE Trans. Biomed. Circuits Syst., 2020

2019
Shifting Clock Jitter and Phase Interval for Impulse-Radar-Based Breast Cancer Detection.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

2018
Gaussian Monocycle Pulse Generator with Calibration Circuit for Breast Cancer Detection.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

2017
Investigation of phase noise and jitter in CMOS sampling clock generation circuits for time-domain breast cancer detection system.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

Differential equivalent time sampling receiver for breast cancer detection.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2017

2014
An Operation Scenario Model for Energy Harvesting Embedded Systems and an Algorithm to Maximize the Operation Quality.
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014

2011
Wide-Dynamic-Range APS-Based Silicon Retina With Brightness Constancy.
IEEE Trans. Neural Networks, 2011

Background Calibration Techniques for Low-Power and High-Speed Data Conversion.
IEICE Trans. Electron., 2011

A New Multi-Path Routing Methodology Based on Logit-Type Probability Assignment.
IEICE Trans. Commun., 2011

2010
A Neural Recording Amplifier with Low-Frequency Noise Suppression.
IEICE Trans. Electron., 2010

2009
A 2.0 Vpp Input, 0.5 V Supply Delta Amplifier with A-to-D Conversion.
IEICE Trans. Electron., 2009

A 1.0-V 10-b 30-MS/s 3.4-mW rail-to-rail pipelined ADC using a new front-end MDAC.
IEICE Electron. Express, 2009

A Neural Signal Detection Amplifier with Low-frequency Noise Suppression.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Building Hierarchical Switch Network Using OpenFlow.
Proceedings of the 1st International Conference on Intelligent Networking and Collaborative Systems, 2009

2008
Low power and low voltage chopper amplifier without LPF.
IEICE Electron. Express, 2008

Off-the-path flow handling mechanism forhigh-speed and programmable traffic management.
Proceedings of the ACM SIGCOMM 2008 Workshop on Programmable Routers for Extensible Services of Tomorrow, 2008

High-Speed, Short-Latency Multipath Ethernet Transport for Interconnections.
Proceedings of the 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 2008

High-Speed, Short-Latency Multipath Ethernet for Data Center Area Communications.
Proceedings of the Global Communications Conference, 2008. GLOBECOM 2008, New Orleans, LA, USA, 30 November, 2008

2007
Crankback Signaling Extensions for MPLS and GMPLS RSVP-TE.
RFC, July, 2007

Chip-Level Substrate Coupling Analysis with Reference Structures for Verification.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Evaluation of Isolation Structures against High-Frequency Substrate Coupling in Analog/Mixed-Signal Integrated Circuits.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007

Low-Voltage and Low-Noise CMOS Analog Circuits Using Scaled Devices.
IEICE Trans. Electron., 2007

Multilayer In-service Reconfiguration for Network Computing Systems.
Proceedings of the Sixth IEEE International Symposium on Network Computing and Applications (NCA 2007), 12, 2007

On-Die Supply-Voltage Noise Sensor with Real-Time Sampling Mode for Low-Power Processor Applications.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

12GHz Low-Area-Overhead Standing-Wave Clock Distribution with Inductively-Loaded and Coupled Technique.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Chip-Level Substrate Noise Analysis with Emphasis of Vertical Impurity Profile for Isolation.
Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, 2007

NAT Traversal Technology of Reducing Load on Relaying Server for P2P Connections.
Proceedings of the 4th IEEE Consumer Communications and Networking Conference, 2007

2006
A study of interference in synchronous systems.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

A 1 V Low-Noise CMOS Amplifier Using Autozeroing and Chopper Stabilization Technique.
IEICE Trans. Electron., 2006

Improving Ethernet Reliability and Stability Using Global Open Ethernet Technology.
IEICE Trans. Commun., 2006

A VLSI Spiking Feedback Neural Network with Negative Thresholding and Its Application to Associative Memory.
IEICE Trans. Electron., 2006

An Image-Filtering LSI Processor Architecture for Face/Object Recognition Using a Sorted Projection-Field Model Based on a Merged/Mixed Analog-Digital Architecture.
IEICE Trans. Electron., 2006

Carrier-Grade Ethernet Technologies for Next Generation Wide Area Ethernet.
IEICE Trans. Commun., 2006

20GHz uniform-phase uniform-amplitude standing-wave clock distribution.
IEICE Electron. Express, 2006

FPGA Implementation of Resistive-Fuse Networks for Coarse Image-Region Segmentation.
Intell. Autom. Soft Comput., 2006

ExpressEther - Ethernet-Based Virtualization Technology for Reconfigurable Hardware Platform.
Proceedings of the 14th IEEE Symposium on High-Performance Interconnects, 2006

Proposal & demonstration of a new remote home-access system, softwire.
Proceedings of the 3rd IEEE Consumer Communications and Networking Conference, 2006

Stereo Matching Algorithm Using a Weighted Average of Costs Aggregated by Various Window Sizes.
Proceedings of the Computer Vision, 2006

2005
A 2.7 Gcps and 7-Multiplexing CDMA Serial Communication Chip for Real-Time Robot Control with Multiprocessors.
J. Robotics Mechatronics, 2005

A Cellular-Automaton-Type Region Extraction Algorithm and its FPGA Implementation.
J. Robotics Mechatronics, 2005

A 2.7 Gcps and 7-Multiplexing CDMA Serial Communication Chip Using Two-Step Synchronization Technique.
IEICE Trans. Electron., 2005

A 1V supply successive approximation ADC with rail-to-rail input voltage range.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A Convolutional Neural Network VLSI Architecture Using Sorting Model for Reducing Multiply-and-Accumulation Operations.
Proceedings of the Advances in Natural Computation, First International Conference, 2005

2004
Global open ethernet (GOE) system and its performance evaluation.
IEEE J. Sel. Areas Commun., 2004

A VLSI convolutional neural network for image recognition using merged/mixed analog-digital architecture.
J. Intell. Fuzzy Syst., 2004

An analysis of interference in synchronous systems.
IEICE Electron. Express, 2004

Gabor-Type Filtering using Transient States of Cellular Neural Networks.
Intell. Autom. Soft Comput., 2004

Coarse-grain replica management strategies for dynamic replication of Web contents.
Comput. Networks, 2004

A Convolutional Neural Network VLSI Architecture Using Thresholding and Weight Decomposition.
Proceedings of the Knowledge-Based Intelligent Information and Engineering Systems, 2004

Design of multiple reverse spanning trees in next generation of Ethernet-VPNs.
Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November, 2004

2003
A High-Resolution CMOS Image Sensor with Hadamard Transform Function.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2003

A Convolutional Neural Network VLSI for Image Recognition Using Merged/Mixed Analog-Digital Architecture.
Proceedings of the Knowledge-Based Intelligent Information and Engineering Systems, 2003

A substrate noise analysis methodology for large-scale mixed-signal ICs.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2003

2002
Image Segmentation/Extraction Using Nonlinear Cellular Networks and Their VLSI Implementation Using Pulse-Modulation Techniques.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

Substrate Noise Analysis with Compact Digital Noise Injection and Substrate Models.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Coarse-grain dynamic replication schemes for scalable content delivery networks.
Proceedings of the Global Telecommunications Conference, 2002

Modeling substrate noise generation in CMOS digital integrated circuits.
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, 2002

A cellular-automaton-type image extraction algorithm and its implementation using an FPGA.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

2001
Physical design guides for substrate noise reduction in CMOS digital circuits.
IEEE J. Solid State Circuits, 2001

A PWM analog memory programming circuit for floating-gate MOSFETs with 75-μs programming time and 11-bit updating resolution.
IEEE J. Solid State Circuits, 2001

An Efficient Clustering Algorithm Using Stochastic Association Model and Its Implementation Using Nanostructures.
Proceedings of the Advances in Neural Information Processing Systems 14 [Neural Information Processing Systems: Natural and Synthetic, 2001

Chip-Level Substrate Noise Analysis with Network Reduction by Fundamental Matrix Computation.
Proceedings of the 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 2001

Adaptive and efficient multiple path pre-computation for QoS routing protocols.
Proceedings of the Global Telecommunications Conference, 2001

Test circuits for substrate noise evaluation in CMOS digital ICs.
Proceedings of ASP-DAC 2001, 2001

2000
Measurements and analyses of substrate noise waveform inmixed-signal IC environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

A hierarchical multilayer QoS routing system with dynamic SLA management.
IEEE J. Sel. Areas Commun., 2000

ATM Routing Algorithms for Multimedia Traffic in Private ATM Networks.
J. Heuristics, 2000

Pulse modulation circuit architecture and its application to functional image sensors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

Quantitative characterization of substrate noise for physical design guides in digital circuits.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

A smart imager for the vision processing front-END.
Proceedings of ASP-DAC 2000, 2000

Substrate crosstalk analysis in mixed signal CMOS integrated circuits: embedded tutorial.
Proceedings of ASP-DAC 2000, 2000

An arbitrary chaos generator core curcuit using PWM/PPM signals.
Proceedings of ASP-DAC 2000, 2000

1999
Scalable routing strategies for ad hoc wireless networks.
IEEE J. Sel. Areas Commun., 1999

A Feature Associative Processor for Image Recognition Based on A-D merged Architecture.
Proceedings of the VLSI: Systems on a Chip, 1999

Measurements and analyses of substrate noise waveform in mixed signal IC environment.
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference, 1999

1998
A PWM signal processing core circuit based on a switched current integration technique.
IEEE J. Solid State Circuits, 1998

Nonlinear Function Generators and Chaotic Signal Generators Based on Pulse-Phase Modulation.
Proceedings of the Fifth International Conference on Neural Information Processing, 1998

Oscillator Networks for Image Segmentation and Their Circuits Using Pulse Modulation Method.
Proceedings of the Fifth International Conference on Neural Information Processing, 1998

QOS aggregation algorithms in hierarchical ATM networks.
Proceedings of the 1998 IEEE International Conference on Communications, 1998

1995
ATM Connection and Traffic Management Schemes for Multimedia Internetworking.
Commun. ACM, 1995

1988
Oversampling A-to-D and D-to-A converters with multistage noise shaping modulators.
IEEE Trans. Acoust. Speech Signal Process., 1988

1986
A ring array processor architecture for highly parallel dynamic time warping.
IEEE Trans. Acoust. Speech Signal Process., 1986

VLSI- A to D and D to A converters with multi-stage noise shaping modulators.
Proceedings of the IEEE International Conference on Acoustics, 1986

A 50ns floating-point signal processor VLSI.
Proceedings of the IEEE International Conference on Acoustics, 1986

1985
An 18-bit floating-point signal processor VLSI with an on-chip 512W dual-port RAM.
Proceedings of the IEEE International Conference on Acoustics, 1985

1982
A high quality ADM LSI codec at 32 kbit/s for digital speech communications.
Proceedings of the IEEE International Conference on Acoustics, 1982


  Loading...