Hiroyuki Ito

Orcid: 0000-0002-5687-0019

According to our database1, Hiroyuki Ito authored at least 72 papers between 1990 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Change Detection in Pipe Image Pairs Extracted from Inspection Videos by Sequential Filtering.
Proceedings of the IEEE/SICE International Symposium on System Integration, 2024

2023
A Compact 0.9uW Direct-Conversion Frequency Analyzer for Speech Recognition with Wide-Range Q-Controlable Bandpass Rectifier.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Towards Digital Synthesis of Variable Q-Factor Direct-Conversion for Low-Power Edge Sensing.
Proceedings of the 2023 IEEE SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023, 2023

2022
A Novel Method for Lightning Prediction by Direct Electric Field Measurements at the Ground Using Recurrent Neural Network.
IEICE Trans. Inf. Syst., September, 2022

Guest Editorial Introduction to the Special Issue on the 2022 IEEE International Solid-State Circuits Conference (ISSCC).
IEEE J. Solid State Circuits, 2022

A Data Augmentation Method for Cow Behavior Estimation Systems Using 3-Axis Acceleration Data and Neural Network Technology.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2022

TinyCowNet: Memory- and Power-Minimized RNNs Implementable on Tiny Edge Devices for Lifelong Cow Behavior Distribution Estimation.
IEEE Access, 2022

Low-ESL (<1 pH @ 8.5 GHz) Multi-Terminal Si Capacitor Embedded in 3D Functional Interposer for Power Delivery Network.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

2021
Session 21 Overview: UWB Systems and Wake-Up Receivers Wireless Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

A 216 μW, 87% Accurate Cow Behavior Classifying Decision Tree on FPGA with Interpolated Arctan2.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Simplified Analytical Damping Constant Model for Perforated Proof Mass Structure of MEMS Capacitive Accelerometer by Multi-Layer Metal Technology.
Proceedings of the 2021 IEEE Sensors, Sydney, Australia, October 31 - Nov. 3, 2021, 2021

Current-Starved Chaotic Oscillator Over Multiple Frequency Decades on Low-Cost CMOS: Towards Distributed and Scalable Environmental Sensing with a Myriad of Nodes.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Capacitive Sensor Circuit with Relative Slope-Boost Method Based on a Relaxation Oscillator.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
CMOS Gaussian Monocycle Pulse Transceiver for Radar-Based Microwave Imaging.
IEEE Trans. Biomed. Circuits Syst., 2020

Distributed Sensing Via Inductively Coupled Single-Transistor Chaotic Oscillators: A New Approach and Its Experimental Proof-of-Concept.
IEEE Access, 2020

Edge-AI Based Cattle Behavior Estimation System for Grazing.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

Si PIC Based on Photonic Crystal for LiDAR Applications.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

2019
Connectivity Influences on Nonlinear Dynamics in Weakly-Synchronized Networks: Insights From Rössler Systems, Electronic Chaotic Oscillators, Model and Biological Neurons.
IEEE Access, 2019

Current-Starved Cross-Coupled CMOS Inverter Rings as Versatile Generators of Chaotic and Neural-Like Dynamics Over Multiple Frequency Decades.
IEEE Access, 2019

Fabrication of Au-Cu Alloy/Ti Layered Micro-Cantilevers and the Long-Term Structure Stability.
Proceedings of the 2019 IEEE SENSORS, Montreal, QC, Canada, October 27-30, 2019, 2019

Shifting Clock Jitter and Phase Interval for Impulse-Radar-Based Breast Cancer Detection.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

High-Sensitivity Inertial Sensor Module to Measure Hidden Micro Muscular Sounds.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

Temperature Cycling Reliability of WOW Bumpless Through Silicon Vias.
Proceedings of the 2019 International 3D Systems Integration Conference (3DIC), 2019

2018
A 0.18-µm CMOS time-domain capacitive-sensor interface for sub-1mG MEMS accelerometers.
IEICE Electron. Express, 2018

2017
A - 244-dB FOM High-Frequency Piezoelectric Resonator-Based Cascaded Fractional-N PLL With Sub-ppb-Order Channel-Adjusting Technique.
IEEE J. Solid State Circuits, 2017

Design of high-frequency piezoelectric resonator-based cascaded fractional-N PLL with sub-ppb-order channel adjusting technique.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
An 8.865-GHz -244dB-FOM high-frequency piezoelectric resonator-based cascaded fractional-N PLL with sub-ppb-order channel adjusting technique.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

Environmental Data Recovery using Polynomial Regression for Large-scale Wireless Sensor Networks.
Proceedings of the SENSORNETS 2016, 2016

2015
RF-Powered Transceiver With an Energy- and Spectral-Efficient IF-Based Quadrature Backscattering Transmitter.
IEEE J. Solid State Circuits, 2015

13.8 A 5.8GHz RF-powered transceiver with a 113μW 32-QAM transmitter employing the IF-based quadrature backscattering technique.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A 0.5-V 1.56-mW 5.5-GHz RF transceiver IC module with J-shaped folded monopole antenna.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A 0.5-V 5.8-GHz low-power asymmetrical QPSK/OOK transceiver for wireless sensor network.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

Total Ionizing Dose Effects of Optical Components on an Optically Reconfigurable Gate Array.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
A Sub-1mW Class-C-VCO-Based Low Voltage PLL with Ultra-Low-Power Digitally-Calibrated ILFD in 65nm CMOS.
IEICE Trans. Electron., 2014

An ultra low power pH-monitoring IC with a duty-cycling wireless FM-transmitter.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

An ultra-low-power RF transceiver with a 1.5-pJ/bit maximally-digital impulse-transmitter and an 89.5-μW super-regenerative RSSI.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

A 0.52-V 5.7-GHz low noise sub-sampling PLL with dynamic threshold MOSFET.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

A 950μW 5.5-GHz low voltage PLL with digitally-calibrated ILFD and linearized varactor.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

A 0.5-V 2.5-GHz high-gain low-power regenerative amplifier based on Colpitts oscillator topology in 65-nm CMOS.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Angular Resolution Improvement of Ocean Surface Current Radar Based on the Khatri-Rao Product Array Processing.
IEICE Trans. Commun., 2013

A 60GHz 3-dB tandem coupler using offset broadside-coupled lines on a silicon substrate.
IEICE Electron. Express, 2013

A process-scalable RF transmitter using 90nm and 65nm Si CMOS.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

Fourier transformation on an optically reconfigurable gate array.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

FPGA Blokus Duo Solver using a massively parallel architecture.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

2012
A Ring-VCO-Based Injection-Locked Frequency Multiplier with Novel Pulse Generation Technique in 65 nm CMOS.
IEICE Trans. Electron., 2012

Router Power Reduction through Dynamic Performance Control Based on Traffic Predictions.
IEICE Trans. Commun., 2012

Injection-locked fractional frequency multiplier with automatic reference pulse-selection technique.
IEICE Electron. Express, 2012

A Uniform Partitioning Method for Mono-Instruction Set Computer (MISC).
Proceedings of the 15th International Conference on Network-Based Information Systems, 2012

Vection (self-motion perception) alters cognitive states, cognition of time, mental number line and personality.
Proceedings of the 34th Annual Meeting of the Cognitive Science Society, 2012

Optimal design method for chip-area-efficient CMOS low-dropout regulator.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
A 21 V output charge pump circuit with appropriate well-bias supply technique in 0.18 μm Si CMOS.
Proceedings of the International SoC Design Conference, 2011

A ring-VCO-based injection-locked frequency multiplier using a new pulse generation technique in 65 nm CMOS.
Proceedings of the International SoC Design Conference, 2011

2008
A Bidirectional- and Multi-Drop-Transmission-Line Interconnect for Multipoint-to-Multipoint On-Chip Communications.
IEEE J. Solid State Circuits, 2008

An 8Gbps 2.5mW on-chip pulsed-current-mode transmission line interconnect with a stacked-switch Tx.
Proceedings of the ESSCIRC 2008, 2008

A 1.7-GHz 1.5-mW digitally-controlled FBAR oscillator with 0.03-ppb resolution.
Proceedings of the ESSCIRC 2008, 2008

Small-area CMOS RF distributed mixer using multi-port inductors.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

LVDS-type on-chip transmision line interconnect with passive equalizers in 90nm CMOS process.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Low-Loss Distributed Constant Passive Devices Using Wafer-Level Chip Scale Package Technology.
IEICE Trans. Electron., 2007

A Multi-Drop Transmission-Line Interconnect in Si LSI.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
RF Passive Components Using Metal Line on Si CMOS.
IEICE Trans. Electron., 2006

2005
Wide Tuning Range LC-VCO Using Variable Inductor for Reconfigurable RF Circuit.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005

Optimality of Control-Limit Type of Software Rejuvenation Policy.
Proceedings of the 11th International Conference on Parallel and Distributed Systems, 2005

Evaluation of on-chip transmission line interconnect using wire length distribution.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Inductance-Tuned LC-VCO for Reconfigurable RF Circuit Design.
IEICE Electron. Express, 2004

High speed and low power on-chip micro network circuit with differential transmission line.
Proceedings of the 2004 International Symposium on System-on-Chip, 2004

Differential transmission line interconnect for high speed and low power global wiring.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

2001
Roles of proteins in the electron transfer in the photosynthetic reaction center of Rhodopseudomonas viridis: bacteriopheophytin to ubiquinone.
J. Comput. Chem., 2001

2000
Model Dependence in Quantification of Spike Interdependence by Joint Peri-Stimulus Time Histogram.
Neural Comput., 2000

1998
Need for Development of Statistical Analysis Tools of Dynamical Neuronal Code -Statistical Evaluation of Joint-PSTH.
Proceedings of the Fifth International Conference on Neural Information Processing, 1998

1996
Dynamical Cell Assembly Hypothesis -- Theoretical Possibility of Spatio-temporal Coding in the Cortex.
Neural Networks, 1996

1994
Vibration and Motion Control of Flexible Structures by Hybrid Dynamic Absorber(Influence of Vibration System with Nonlinear Element).
J. Robotics Mechatronics, 1994

1990
Cloning, nucleotide sequence, and expression of the HincII restriction- modification system.
Nucleic Acids Res., 1990


  Loading...