Daniel J. Sorin

Orcid: 0000-0001-7013-8986

Affiliations:
  • Duke University, Durham, USA


According to our database1, Daniel J. Sorin authored at least 103 papers between 1998 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
HeteroGen: Automatic Synthesis of Heterogeneous Cache Coherence Protocols.
IEEE Micro, 2023

Rigorous Evaluation of Computer Processors with Statistical Model Checking.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

2022
Spatiotemporal Strategies for Long-Term FPGA Resource Management.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022

2021
Bayesian Optimization for Efficient Accelerator Synthesis.
ACM Trans. Archit. Code Optim., 2021

Reconfigurable Hardware in Postsilicon Microarchitecture.
Computer, 2021

Learning Sparse Matrix Row Permutations for Efficient SpMM on GPU Architectures.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

2020
A Primer on Memory Consistency and Cache Coherence, Second Edition
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01764-3, 2020

Computer Architecture for Orbital Edge Computing.
Computer, 2020

HieraGen: Automated Generation of Concurrent, Hierarchical Cache Coherence Protocols.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

Roadmap Subsampling for Changing Environments.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020

Foosball Coding: Correcting Shift Errors and Bit Flip Errors in 3D Racetrack Memory.
Proceedings of the 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2020

Prospector: Synthesizing Efficient Accelerators via Statistical Learning.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
GreenFlag: Protecting 3D-Racetrack Memory from Shift Errors.
Proceedings of the 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2019

A Programmable Architecture for Robot Motion Planning Acceleration.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019

2018
Extending Flash Lifetime in Embedded Processors by Expanding Analog Choice.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Low-Power Content Addressable Memory.
Computer, 2018

ProtoGen: Automatically Generating Directory Cache Coherence Protocols from Atomic Specifications.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018

2017
Correcting Two Deletions and Insertions in Racetrack Memory.
CoRR, 2017

Persistent Memory.
Computer, 2017

Architecting hierarchical coherence protocols for push-button parametric verification.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Jenga: Efficient Fault Tolerance for Stacked DRAM.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

2016
Top Picks from the 2015 Computer Architecture Conferences.
IEEE Micro, 2016

Profile-Driven Automated Mixed Precision.
CoRR, 2016

TREES: A CPU/GPU Task-Parallel Runtime with Explicit Epoch Synchronization.
CoRR, 2016

Robot Motion Planning on a Chip.
Proceedings of the Robotics: Science and Systems XII, University of Michigan, Ann Arbor, Michigan, USA, June 18, 2016

The microarchitecture of a real-time robot motion planning accelerator.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Verifiable hierarchical protocols with network invariants on parametric systems.
Proceedings of the 2016 Formal Methods in Computer-Aided Design, 2016

Methuselah Flash: Rewriting Codes for Extra Long Storage Lifetime.
Proceedings of the 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2016

2015
PVCoherence: Designing Flat Coherence Protocols for Scalable Verification.
IEEE Micro, 2015

Reduced Precision Checking to Detect Errors in Floating Point Arithmetic.
CoRR, 2015

Argus-G: Comprehensive, Low-Cost Error Detection for GPGPU Cores.
IEEE Comput. Archit. Lett., 2015

Writing without Disturb on Phase Change Memories by Integrating Coding and Layout Design.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

Multi-program benchmark definition.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

2014
Recycled Error Bits: Energy-Efficient Architectural Support for Floating Point Accuracy.
Proceedings of the International Conference for High Performance Computing, 2014

Scalably verifiable dynamic power management.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

Nostradamus: Low-cost hardware-only error detection for processor cores.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Architecting Dynamic Power Management to be Formally Verifiable.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Recycled Error Bits: Energy-Efficient Architectural Support for Higher Precision Floating Point.
CoRR, 2013

Applying Reduced Precision Arithmetic to Detect Errors in Floating Point Multiplication.
Proceedings of the IEEE 19th Pacific Rim International Symposium on Dependable Computing, 2013

Evaluating cache coherent shared virtual memory for heterogeneous multicore chips.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2013

Exploring memory consistency for massively-threaded throughput-oriented processors.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

Coset coding to extend the lifetime of memory.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2012
Why on-chip cache coherence is here to stay.
Commun. ACM, 2012

Writing cosets of a convolutional code to increase the Lifetime of Flash memory.
Proceedings of the 50th Annual Allerton Conference on Communication, 2012

2011
A Primer on Memory Consistency and Cache Coherence
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01733-9, 2011

Address Translation Aware Memory Consistency.
IEEE Micro, 2011

An FPGA-based experimental evaluation of microprocessor core error detection with Argus-2.
Proceedings of the SIGMETRICS 2011, 2011

Architectures for online error detection and recovery in multicore processors.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Fractal Consistency: Architecting the Memory System to Facilitate Verification.
IEEE Comput. Archit. Lett., 2010

Fractal Coherence: Scalably Verifiable Cache Coherence.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

UNified Instruction/Translation/Data (UNITD) coherence: One protocol to rule them all.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

Specifying and dynamically verifying address translation-aware memory consistency.
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, 2010

2009
Fault Tolerant Computer Architecture
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01723-0, 2009

Dynamic Verification of Memory Consistency in Cache-Coherent Multithreaded Computer Architectures.
IEEE Trans. Dependable Secur. Comput., 2009

Multicore power management: Ensuring robustness via early-stage formal verification.
Proceedings of the 7th ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2009), 2009

Dynamic power gating with quality guarantees.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Analyzing Formal Verification and Testing Efforts of Different Fault Tolerance Mechanisms.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

Reduced Precision Checking for a Floating Point Adder.
Proceedings of the 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2009

2008
Argus: Low-Cost, Comprehensive Error Detection in Simple Cores.
IEEE Micro, 2008

The Impact of Dynamically Heterogeneous Multicore Processors on Thread Scheduling.
IEEE Micro, 2008

Detouring: Translating software to circumvent hard faults in simple cores.
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008

Reducing the impact of intra-core process variability with criticality-based resource allocation and prefetching.
Proceedings of the 5th Conference on Computing Frontiers, 2008

Core cannibalization architecture: improving lifetime chip performance for multicore processors in the presence of hard faults.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008

2007
Online diagnosis of hard faults in microprocessors.
ACM Trans. Archit. Code Optim., 2007

VariaSim: simulating circuits and systems in the presence of process variability.
SIGARCH Comput. Archit. News, 2007

Low-cost run-time diagnosis of hard delay faults in the functional units of a microprocessor.
Proceedings of the 25th International Conference on Computer Design, 2007

Error Detection via Online Checking of Cache Coherence with Token Coherence Signatures.
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007

Lazy Error Detection for Microprocessor Functional Units.
Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), 2007

Unified microprocessor core storage.
Proceedings of the 4th Conference on Computing Frontiers, 2007

Reducing the Impact of Process Variability with Prefetching and Criticality-Based Resource Allocation.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

Error Detection Using Dynamic Dataflow Verification.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

Verification-Aware Microprocessor Design.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

2006
Spin Detection Hardware for Improved Management of Multithreaded Systems.
IEEE Trans. Parallel Distributed Syst., 2006

NANA: A nano-scale active network architecture.
ACM J. Emerg. Technol. Comput. Syst., 2006

Applying architectural vulnerability Analysis to hard faults in the microprocessor.
Proceedings of the Joint International Conference on Measurement and Modeling of Computer Systems, 2006

Self-Checking and Self-Diagnosing 32-bit Microprocessor Multiplier.
Proceedings of the 2006 IEEE International Test Conference, 2006

Choosing an Error Protection Scheme for a Microprocessor's L1 Data Cache.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

2005
Autonomic Microprocessor Execution via Self-Repairing Arrays.
IEEE Trans. Dependable Secur. Comput., 2005

Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset.
SIGARCH Comput. Archit. News, 2005

Self-Assembled Architectures and the Temporal Aspects of Computing.
Computer, 2005

Pulse: A Dynamic Deadlock Detection Mechanism Using Speculative Execution.
Proceedings of the 2005 USENIX Annual Technical Conference, 2005

A Mechanism for Online Diagnosis of Hard Faults in Microprocessors.
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 2005

Dynamic Verification of Sequential Consistency.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide Breakdown.
Proceedings of the 2005 Design, 2005

2004
Communication breakdown: analyzing CPU usage in commercial Web workloads.
Proceedings of the 2004 IEEE International Symposium on Performance Analysis of Systems and Software, 2004

Using Speculation to Simplify Multiprocessor Design.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004

Tolerating Hard Faults in Microprocessor Array Structures.
Proceedings of the 2004 International Conference on Dependable Systems and Networks (DSN 2004), 28 June, 2004

2003
Analytic Evaluation of Shared-Memory Architectures.
IEEE Trans. Parallel Distributed Syst., 2003

Simulating a $2M Commercial Server on a $2K PC.
Computer, 2003

Quantifying instruction criticality for shared memory multiprocessors.
Proceedings of the SPAA 2003: Proceedings of the Fifteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2003

Using Destination-Set Prediction to Improve the Latency/Bandwidth Tradeoff in Shared-Memory Multiprocessors.
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003

Dynamic Verification of End-to-End Multiprocessor Invariants.
Proceedings of the 2003 International Conference on Dependable Systems and Networks (DSN 2003), 2003

2002
Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol.
IEEE Trans. Parallel Distributed Syst., 2002

SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery.
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002

Bandwidth Adaptive Snooping.
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002

2001
Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing.
Proceedings of the 34th Annual International Symposium on Microarchitecture, 2001

2000
AMVA techniques for high service time variability.
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, 2000

Timestamp snooping: an approach for extending SMPs.
Proceedings of the ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000

1999
A System-Level Specification Framework for I/O Architectures.
Proceedings of the Eleventh Annual ACM Symposium on Parallel Algorithms and Architectures, 1999

Multicast Snooping: A New Coherence Method Using a Multicast Address Network.
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999

Using Lamport Clocks to Reason about Relaxed Memory Models.
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999

1998
Lamport Clocks: Verifying a Directory Cache-Coherence Protocol.
Proceedings of the Tenth Annual ACM Symposium on Parallel Algorithms and Architectures, 1998

Analytic Evaluation of Shared-memory Systems with ILP Processors.
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998


  Loading...