Vijay Janapa Reddi

Affiliations:
  • Harvard University, USA
  • The University of Texas at Austin, TX, USA (former)


According to our database1, Vijay Janapa Reddi authored at least 171 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Materiality and Risk in the Age of Pervasive AI Sensors.
CoRR, 2024

2023
Machine Learning Sensors.
Commun. ACM, November, 2023

Is TinyML Sustainable?
Commun. ACM, November, 2023

An Electro-Photonic System for Accelerating Deep Neural Networks.
ACM J. Emerg. Technol. Comput. Syst., October, 2023

Deep Reinforcement Learning for Cyber Security.
IEEE Trans. Neural Networks Learn. Syst., August, 2023

Federated benchmarking of medical artificial intelligence with MedPerf.
Nat. Mac. Intell., July, 2023

FARSI: An Early-stage Design Space Exploration Framework to Tame the Domain-specific System-on-chip Complexity.
ACM Trans. Embed. Comput. Syst., March, 2023

Special Issue on TinyML.
IEEE Micro, 2023

DMLR: Data-centric Machine Learning Research - Past, Present and Future.
CoRR, 2023

RobotPerf: An Open-Source, Vendor-Agnostic, Benchmarking Suite for Evaluating Robotics Computing System Performance.
CoRR, 2023

Leveraging Residue Number System for Designing High-Precision Analog Deep Neural Network Accelerators.
CoRR, 2023

Datasheets for Machine Learning Sensors.
CoRR, 2023

Adversarial Nibbler: A Data-Centric Challenge for Improving the Safety of Text-to-Image Models.
CoRR, 2023

NeuroBench: Advancing Neuromorphic Computing through Collaborative, Fair and Representative Benchmarking.
CoRR, 2023

Is TinyML Sustainable? Assessing the Environmental Impacts of Machine Learning on Microcontrollers.
CoRR, 2023

GPU-based Private Information Retrieval for On-Device Machine Learning Inference.
CoRR, 2023


CFU Playground: Full-Stack Open-Source Framework for Tiny Machine Learning (TinyML) Acceleration on FPGAs.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023

RoboShape: Using Topology Patterns to Scalably and Flexibly Deploy Accelerators Across Robots.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

ArchGym: An Open-Source Gymnasium for Machine Learning Assisted Architecture Design.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning.
IROS, 2023

Solving Complex Sequential Decision-Making Problems by Deep Reinforcement Learning with Heuristic Rules.
Proceedings of the Computational Science - ICCS 2023, 2023

CFU Playground: Want a faster ML processor? Do it yourself!
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

BERRY: Bit Error Robustness for Energy-Efficient Reinforcement Learning-Based Autonomous Systems.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Architecture 2.0: Challenges and Opportunities.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
QuaRL: Quantization for Fast and Environmentally Sustainable Reinforcement Learning.
Trans. Mach. Learn. Res., 2022

A Survey on Machine Learning Accelerators and Evolutionary Hardware Platforms.
IEEE Des. Test, 2022

Edge Impulse: An MLOps Platform for Tiny Machine Learning.
CoRR, 2022

Multi-Agent Reinforcement Learning for Microprocessor Design Space Exploration.
CoRR, 2022

XRBench: An Extended Reality (XR) Machine Learning Benchmark Suite for the Metaverse.
CoRR, 2022

DataPerf: Benchmarks for Data-Centric AI Development.
CoRR, 2022

FastML Science Benchmarks: Accelerating Real-Time Scientific Edge Machine Learning.
CoRR, 2022

Machine Learning Sensors.
CoRR, 2022

Tabula: Efficiently Computing Nonlinear Activation Functions for Secure Neural Network Inference.
CoRR, 2022

FARSI: Facebook AR System Investigator for Agile Domain-Specific System-on-Chip Exploration.
CoRR, 2022

TinyMLedu: The Tiny Machine Learning Open Education Initiative.
Proceedings of the SIGCSE 2022: The 53rd ACM Technical Symposium on Computer Science Education, 2022

The Dollar Street Dataset: Images Representing the Geographic and Socioeconomic Diversity of the World.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022


Automatic Domain-Specific SoC Design for Autonomous Unmanned Aerial Vehicles.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Roofline Model for UAVs: A Bottleneck Analysis Tool for Onboard Compute Characterization of Autonomous Unmanned Aerial Vehicles.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022

RobotCore: An Open Architecture for Hardware Acceleration in ROS 2.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2022

GRiD: GPU-Accelerated Rigid Body Dynamics with Analytical Gradients.
Proceedings of the 2022 International Conference on Robotics and Automation, 2022

FRL-FI: Transient Fault Analysis for Federated Reinforcement Learning-Based Navigation Systems.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

OMU: A Probabilistic 3D Occupancy Mapping Accelerator for Real-time OctoMap at the Edge.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Zhuyi: perception processing rate estimation for safety in autonomous vehicles.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

Tiny Robot Learning: Challenges and Directions for Machine Learning in Resource-Constrained Robots.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
The Role of Compute in Autonomous Micro Aerial Vehicles: Optimizing for Mission Time and Energy Efficiency.
ACM Trans. Comput. Syst., 2021

Erratum to "Predictive Guardbanding: Program-Driven Timing Margin Reduction for GPUs".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Predictive Guardbanding: Program-Driven Timing Margin Reduction for GPUs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

System-level Early-stage Modeling and Evaluation of IVR-assisted Processor Power Delivery System.
ACM Trans. Archit. Code Optim., 2021

Accelerating Robot Dynamics Gradients on a CPU, GPU, and FPGA.
IEEE Robotics Autom. Lett., 2021

Air Learning: a deep reinforcement learning gym for autonomous aerial robot visual navigation.
Mach. Learn., 2021

The Vision Behind MLPerf: Understanding AI Inference Performance.
IEEE Micro, 2021

The People's Speech: A Large-Scale Diverse English Speech Recognition Dataset for Commercial Usage.
CoRR, 2021

MedPerf: Open Benchmarking Platform for Medical Artificial Intelligence using Federated Evaluation.
CoRR, 2021

AutoSoC: Automating Algorithm-SOC Co-design for Aerial Robots.
CoRR, 2021

MLPerf Tiny Benchmark.
CoRR, 2021

Widening Access to Applied Machine Learning with TinyML.
CoRR, 2021

MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles.
CoRR, 2021

Data Engineering for Everyone.
CoRR, 2021

Machine Learning-Based Automated Design Space Exploration for Autonomous Aerial Robots.
CoRR, 2021

Accelerator-level parallelism.
Commun. ACM, 2021

Multilingual Spoken Words Corpus.
Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, 2021

The People's Speech: A Large-Scale Diverse English Speech Recognition Dataset for Commercial Usage.
Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, 2021


TensorFlow Lite Micro: Embedded Machine Learning for TinyML Systems.
Proceedings of Machine Learning and Systems 2021, 2021

MicroNets: Neural Network Architectures for Deploying TinyML Applications on Commodity Microcontrollers.
Proceedings of Machine Learning and Systems 2021, 2021

RL-Scope: Cross-stack Profiling for Deep Reinforcement Learning Workloads.
Proceedings of Machine Learning and Systems 2021, 2021

AI Tax in Mobile SoCs: End-to-end Performance Analysis of Machine Learning in Smartphones.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

Sniffy Bug: A Fully Autonomous Swarm of Gas-Seeking Nano Quadcopters in Cluttered Environments.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

Few-Shot Keyword Spotting in Any Language.
Proceedings of the Interspeech 2021, 22nd Annual Conference of the International Speech Communication Association, Brno, Czechia, 30 August, 2021

Tiny Robot Learning (tinyRL) for Source Seeking on a Nano Quadcopter.
Proceedings of the IEEE International Conference on Robotics and Automation, 2021

Gradient Disaggregation: Breaking Privacy in Federated Learning by Reconstructing the User Participant Matrix.
Proceedings of the 38th International Conference on Machine Learning, 2021

Analyzing and Improving Fault Tolerance of Learning-Based Navigation Systems.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

RoboRun: A Robot Runtime to Exploit Spatial Heterogeneity.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

TinyML: Current Progress, Research Challenges, and Future Roadmap.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Robomorphic computing: a design methodology for domain-specific accelerators parameterized by robot morphology.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021

Precision Batching: Bitserial Decomposition for Efficient Neural Network Inference on GPUs.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

MLPerf: An Industry Standard Benchmark Suite for Machine Learning Performance.
IEEE Micro, 2020

MLPerf Mobile Inference Benchmark: Why Mobile AI Benchmarking Is Hard and What to Do About It.
CoRR, 2020

TensorFlow Lite Micro: Embedded Machine Learning on TinyML Systems.
CoRR, 2020

Exceeding Conservative Limits: A Consolidated Analysis on Modern Hardware Margins.
CoRR, 2020

Benchmarking TinyML Systems: Challenges and Direction.
CoRR, 2020

Quantized Neural Network Inference with Precision Batching.
CoRR, 2020

The Sky Is Not the Limit: A Visual Performance Model for Cyber-Physical Co-Design in Autonomous Machines.
IEEE Comput. Archit. Lett., 2020



Missing the Forest for the Trees: End-to-End AI Application Performance in Edge Data Centers.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

Asymmetric Resilience: Exploiting Task-Level Idempotency for Transient Error Recovery in Accelerator-Based Systems.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

Algorithm-Hardware Co-Design of Adaptive Floating-Point Encodings for Resilient Deep Learning Inference.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
AI Tax: The Hidden Cost of AI Data Center Applications.
ACM Trans. Comput. Syst., 2019

GLADAS: Gesture Learning for Advanced Driver Assistance Systems.
CoRR, 2019

MLPerf Training Benchmark.
CoRR, 2019

Quantized Reinforcement Learning (QUARL).
CoRR, 2019

AdaptivFloat: A Floating-point based Data Type for Resilient Deep Learning Inference.
CoRR, 2019

Learning to Seek: Autonomous Source Seeking with Deep Reinforcement Learning Onboard a Nano Drone Microcontroller.
CoRR, 2019

The Role of Compute in Autonomous Aerial Vehicles.
CoRR, 2019

Air Learning: An AI Research Platform for Algorithm-Hardware Benchmarking of Autonomous Aerial Robots.
CoRR, 2019

MAVBench: Micro Aerial Vehicle Benchmarking.
CoRR, 2019

Asymmetric Resilience for Accelerator-Rich Systems.
IEEE Comput. Archit. Lett., 2019

Tail latency in node.js: energy efficient turbo boosting for long latency requests in event-driven web services.
Proceedings of the 15th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, 2019

One Size Does Not Fit All: Quantifying and Exposing the Accuracy-Latency Trade-Off in Machine Learning Cloud Service APIs via Tolerance Tiers.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019

Modern Hardware Margins: CPUs, GPUs, FPGAs Recent System-Level Studies.
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

Fine-Tuning the Active Timing Margin (ATM) Control Loop for Maximizing Multi-core Efficiency on an IBM POWER Server.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Gables: A Roofline Model for Mobile SoCs.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

2018
Two Billion Devices and Counting.
IEEE Micro, 2018

Domain-Specific Approximation for Object Detection.
IEEE Micro, 2018

Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

MAVBench: Micro Aerial Vehicle Benchmarking.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Amdahl's Law in Big Data Analytics: Alive and Kicking in TPCx-BB (BigBench).
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

Efficient and reliable power delivery in voltage-stacked manycore system with hybrid charge-recycling regulators.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Optimizing General-Purpose CPUs for Energy-Efficient Mobile Web Computing.
ACM Trans. Comput. Syst., 2017

Ti-States: Power Management in Active Timing Margin Processors.
IEEE Micro, 2017

Cognitive Computing Safety: The New Horizon for Reliability / The Design and Evolution of Deep Learning Workloads.
IEEE Micro, 2017

Flying IoT: Toward Low-Power Vision in the Sky.
IEEE Micro, 2017

Research for practice: web security and mobile web computing.
Commun. ACM, 2017

Storage on Your SmartPhone Uses More Energy Than You Think.
Proceedings of the 9th USENIX Workshop on Hot Topics in Storage and File Systems, 2017

Ivory: Early-Stage Design Space Exploration Tool for Integrated Voltage Regulators.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
On the Internet of Things.
IEEE Micro, 2016

EMMA: A New Platform to Evaluate Hardware-based Mobile Malware Analyses.
CoRR, 2016

GreenWeb: language extensions for energy-efficient mobile web computing.
Proceedings of the 37th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2016

Ti-states: Processor power management in the temperature inversion region.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Quantifying and improving the efficiency of hardware-based mobile malware detectors.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Barrier-Aware Warp Scheduling for Throughput Processors.
Proceedings of the 2016 International Conference on Supercomputing, 2016

Simulation and Analysis Engine for Scale-Out Workloads.
Proceedings of the 2016 International Conference on Supercomputing, 2016

Mobile CPU's rise to power: Quantifying the impact of generational mobile CPU design trends on performance, energy, and user satisfaction.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

2015
The Role of the CPU in Energy-Efficient Mobile Web Browsing.
IEEE Micro, 2015

Adaptive guardband scheduling to improve system-level efficiency of the POWER7+.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Microarchitectural implications of event-driven server-side web applications.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Safe limits on voltage reduction efficiency in GPUs: a direct measurement approach.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Mosaic: cross-platform user-interaction record and replay for the fragmented android ecosystem.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

Event-based scheduling for energy-efficient QoS (eQoS) in mobile Web applications.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

GPU voltage noise: Characterization and hierarchical smoothing of spatial and temporal voltage noise interference in GPU architectures.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

2014
Exploiting Webpage Characteristics for Energy-Efficient Mobile Web Browsing.
IEEE Comput. Archit. Lett., 2014

GPUVolt: modeling and characterizing voltage noise in GPU architectures.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

WebCore: Architectural support for mobile Web browsing.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

Morpheus: benchmarking computational diversity in mobile malware.
Proceedings of the HASP 2014, 2014

2013
Resilient Architecture Design for Voltage Variation
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01739-1, 2013

Reliability-Aware Microarchitecture Design.
IEEE Micro, 2013

GPUWattch: enabling energy optimizations in GPGPUs.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

High-performance and energy-efficient mobile web browsing on big/little systems.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2012
Hardware and software co-design for robust and resilient execution.
Proceedings of the 2012 International Conference on Collaboration Technologies and Systems, 2012

HELIX: automatic parallelization of irregular programs for chip multiprocessing.
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012

Robust and resilient designs from the bottom-up: Technology, CAD, circuit, and system issues.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Mobile processors for energy-efficient web search.
ACM Trans. Comput. Syst., 2011

Resilient Architectures via Collaborative Design: Maximizing Commodity Processor Performance in the Presence of Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Voltage Noise in Production Processors.
IEEE Micro, 2011

Dimetrodon: processor-level preventive thermal management via idle cycle injection.
Proceedings of the 48th Design Automation Conference, 2011

2010
Eliminating voltage emergencies via software-guided code transformations.
ACM Trans. Archit. Code Optim., 2010

Predicting Voltage Droops Using Recurring Program and Microarchitectural Event Activity.
IEEE Micro, 2010

Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

Web search using mobile cores: quantifying and mitigating the price of efficiency.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

2009
PLR: A Software Approach to Transient Fault Tolerance for Multicore Architectures.
IEEE Trans. Dependable Secur. Comput., 2009

Voltage emergency prediction: Using signatures to reduce operating margins.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

An event-guided approach to reducing voltage noise in processors.
Proceedings of the Design, Automation and Test in Europe, 2009

Software-assisted hardware reliability: abstracting circuit-level challenges to the software stack.
Proceedings of the 46th Design Automation Conference, 2009

2007
Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance.
Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2007

Persistent Code Caching: Exploiting Code Reuse Across Executions and Applications.
Proceedings of the Fifth International Symposium on Code Generation and Optimization (CGO 2007), 2007

Shadow Profiling: Hiding Instrumentation Costs with Parallelism.
Proceedings of the Fifth International Symposium on Code Generation and Optimization (CGO 2007), 2007

2006
Dynamic-Compiler-Driven Control for Microprocessor Energy and Performance.
IEEE Micro, 2006

2005
Persistence in dynamic code transformation systems.
SIGARCH Comput. Archit. News, 2005

Pin: building customized program analysis tools with dynamic instrumentation.
Proceedings of the ACM SIGPLAN 2005 Conference on Programming Language Design and Implementation, 2005

A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance.
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 2005

Topology-Based Hypercube Structures for Global Communication in Heterogeneous Networks.
Proceedings of the Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30, 2005

Dynamic run-time architecture techniques for enabling continuous optimization.
Proceedings of the Second Conference on Computing Frontiers, 2005

Code coverage testing using hardware performance monitoring support.
Proceedings of the Sixth International Workshop on Automated Debugging, 2005

Analysis of path profiling information generated with performance monitoring hardware.
Proceedings of the 9th Annual Workshop on Interaction between Compilers and Computer Architectures, 2005

2004
PIN: a binary instrumentation tool for computer architecture research and education.
Proceedings of the 2004 workshop on Computer architecture education, 2004


  Loading...