Bo Yu

Orcid: 0000-0002-0139-3622

Affiliations:
  • BeyonCa, Houston, TX, USA
  • PerceptIn, Fremont, CA, USA
  • Tsinghua University, Institute of Microelectronics, Beijing, China (PhD 2012)


According to our database1, Bo Yu authored at least 50 papers between 2010 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Accelerating Autonomous Path Planning on FPGAs with Sparsity-Aware HW/SW Co-Optimizations.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024

ORIANNA: An Accelerator Generation Framework for Optimization-based Robotic Applications.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
Data Fusion in Infrastructure-Augmented Autonomous Driving System: Why? Where? and How?
IEEE Internet Things J., September, 2023

An Energy Efficient and Runtime Reconfigurable Accelerator for Robotic Localization.
IEEE Trans. Computers, July, 2023

Cloud and Edge Computing for Connected and Automated Vehicles.
Found. Trends Electron. Des. Autom., 2023

FGLQR: Factor Graph Accelerator of LQR Control for Autonomous Machines.
CoRR, 2023

Autonomy 2.0: The Quest for Economies of Scale.
CoRR, 2023

Invited: Autonomous Driving Digital Twin Empowered Design Automation: An Industry Perspective.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

BLITZCRANK: Factor Graph Accelerator for Motion Planning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Communication Challenges in Infrastructure-Vehicle Cooperative Autonomous Driving: A Field Deployment Perspective.
IEEE Wirel. Commun., 2022

Thales: Formulating and Estimating Architectural Vulnerability Factors for DNN Accelerators.
CoRR, 2022

INTERNEURON: A Middleware with Multi-Network Communication Reliability for Infrastructure Vehicle Cooperative Autonomous Driving.
CoRR, 2022

Factor Graph Accelerator for LiDAR-Inertial Odometry.
CoRR, 2022

Autonomous Vehicles Digital Twin: A Practical Paradigm for Autonomous Driving System Development.
Computer, 2022

Brief Industry Paper: The Necessity of Adaptive Data Fusion in Infrastructure-Augmented Autonomous Driving System.
Proceedings of the 28th IEEE Real-Time and Embedded Technology and Applications Symposium, 2022

Braum: Analyzing and Protecting Autonomous Machine Software Stack.
Proceedings of the IEEE 33rd International Symposium on Software Reliability Engineering, 2022

Factor Graph Accelerator for LiDAR-Inertial Odometry (Invited Paper).
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

An Energy-Efficient and Runtime-Reconfigurable FPGA-Based Accelerator for Robotic Localization Systems.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Robotic Computing on FPGAs
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01771-1, 2021

The Promise of Dataflow Architectures in the Design of Processing Systems for Autonomous Machines.
CoRR, 2021

The Matter of Time - A General and Efficient System for Precise Sensor Synchronization in Robotic Computing.
CoRR, 2021

Towards Fully Intelligent Transportation through Infrastructure-Vehicle Cooperative Autonomous Driving: Challenges and Opportunities.
CoRR, 2021

Π-RT: A Runtime Framework to Enable Energy-Efficient Real-Time Robotic Vision Applications on Heterogeneous Architectures.
Computer, 2021

Brief Industry Paper: The Matter of Time - A General and Efficient System for Precise Sensor Synchronization in Robotic Computing.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021

Archytas: A Framework for Synthesizing and Dynamically Optimizing Accelerators for Robotic Localization.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Eudoxus: Characterizing and Accelerating Localization in Autonomous Machines Industry Track Paper.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

Invited: Towards Fully Intelligent Transportation through Infrastructure-Vehicle Cooperative Autonomous Driving: Challenges and Opportunities.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

On Designing Computing Systems for Autonomous Vehicles: a PerceptIn Case Study.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

An Energy-Efficient Quad-Camera Visual System for Autonomous Machines on FPGA Platform.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

iELAS: An ELAS-Based Energy-Efficient Accelerator for Real-Time Stereo Matching on FPGA Platform.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
$\pi$π-BA: Bundle Adjustment Hardware Accelerator Based on Distribution of 3D-Point Observations.
IEEE Trans. Computers, 2020

A Survey of FPGA-Based Robotic Computing.
CoRR, 2020

LoPECS: A Low-Power Edge Computing System for Real-Time Autonomous Driving Services.
IEEE Access, 2020

Building the Computing System for Autonomous Micromobility Vehicles: Design Constraints and Architectural Optimizations.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

π-Map: A Decision-Based Sensor Fusion with Global Optimization for Indoor Mapping.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020

2019
Edge Computing for Autonomous Driving: Opportunities and Challenges.
Proc. IEEE, 2019

PI-BA Bundle Adjustment Acceleration on Embedded FPGAs with Co-observation Optimization.
CoRR, 2019

PI-Edge: A Low-Power Edge Computing System for Real-Time Autonomous Driving Services.
CoRR, 2019

π-BA: Bundle Adjustment Acceleration on Embedded FPGAs with Co-observation Optimization.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

2018
PIRT: A Runtime Framework to Enable Energy-Efficient Real-Time Robotic Applications on Heterogeneous Architectures.
CoRR, 2018

π-SoC: Heterogeneous SoC Architecture for Visual Inertial SLAM Applications.
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018

2017
FPGA-based ORB feature extraction for real-time visual SLAM.
Proceedings of the International Conference on Field Programmable Technology, 2017

2013
On-Chip Systolic Networks for Real-Time Tracking of Pairwise Correlations Between Neurons in a Large-Scale Network.
IEEE Trans. Biomed. Eng., 2013

2011
Real-Time FPGA-Based Multichannel Spike Sorting Using Hebbian Eigenfilters.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

Memory efficient on-line streaming for multichannel spike train analysis.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Real-time neuronal networks reconstruction using hierarchical systolic arrays.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Towards neuro-silicon interface using reconfigurable dynamic clamping.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Feasibility study for future implantable neural-silicon interface devices.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

2010
A Reconfigurable Hebbian Eigenfilter for Neurophysiological Spike Train Analysis.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010


  Loading...