Daniel G. Saab

Affiliations:
  • Case Western Reserve University, USA


According to our database1, Daniel G. Saab authored at least 77 papers between 1987 and 2018.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2018
ESIFT: Efficient System for Error Injection.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

2017
Automatic Assertion Generation for Simulation, Formal Verification and Emulation.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

2016
Single Trojan injection model generation and detection.
Proceedings of the 17th Latin-American Test Symposium, 2016

2015
Formal Verification ATPG Search Engine Emulator (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

2012
Ultra-low power NEMS FPGA.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
Complementary Nano-Electro-Mechanical Switch for ultra-low-power applications: Design and modeling.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

2010
Ultra-low-Power Ultra-fast Hybrid CNEMS-CMOS FPGA.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

2009
Exact Path Delay Fault Coverage Calculation of Partitioned Circuits.
IEEE Trans. Computers, 2009

Complementary nano-electromechanical switches for ultra-low power embedded processors.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

2007
Dynamic Fault Diagnosis of Combinational and Sequential Circuits on Reconfigurable Hardware.
J. Electron. Test., 2007

Tutorial T4A: Formal Verification Techniques and Tools for Complex Designs.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Reducing verification overhead with RTL slicing.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Automatic Generation of Instructions to Robustly Test Delay Defects in Processors.
Proceedings of the 12th European Test Symposium, 2007

Critical Path Delay Reduction in FPGAs with Unbalanced Lookup Times.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Checking Nested Properties Using Bounded Model Checking and Sequential ATPG.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

HDL Program Slicing to Reduce Bounded Model Checking Search Overhead.
Proceedings of the 2006 IEEE International Test Conference, 2006

2005
Case Study of ATPG-based Bounded Model Checking: Verifying USB2.0 IP Core.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

An Emulation Model for Sequential ATPG-Based Bounded Model Checking.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

2003
Formal Verification Using Bounded Model Checking: SAT versus Sequential ATPG Engines.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

2002
Correction to "ATPG for combinational circuits on configurable hardware".
IEEE Trans. Very Large Scale Integr. Syst., 2002

Verifying Properties Using Sequential ATPG.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002

Massively Parallel/Reconfigurable Emulation Model for the D-algorithm.
Proceedings of the Field-Programmable Logic and Applications, 2002

2001
ATPG for combinational circuits on configurable hardware.
IEEE Trans. Very Large Scale Integr. Syst., 2001

2000
Hierarchical Test Generation for Systems On a Chip.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Parallel and scalable architecture for solving SATisfiability on reconfigurable FPGA.
Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, 2000

1999
Combining multiple DFT schemes with test generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Concurrent D-algorithm on reconfigurable hardware.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Dynamic Fault Diagnosis on Reconfigurable Hardware.
Proceedings of the 36th Conference on Design Automation, 1999

A Massively-Parallel Easily-Scalable Satisfiability Solver Using Reconfigurable Hardware.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Initialization of Sequential Circuits and its Application to ATPG.
J. Electron. Test., 1998

Dynamic fault diagnosis for sequential circuits on reconfigurable hardware.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

1997
Satisfiability on reconfigurable hardware.
Proceedings of the Field-Programmable Logic and Applications, 7th International Workshop, 1997

1996
Automatic test vector cultivation for sequential VLSI circuits using genetic algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Site Partitioning for Redundant Arrays of Distributed Disks.
J. Parallel Distributed Comput., 1996

1995
DFT & ATPG: Together Again.
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995

1994
Partial Reset: An Alternative DFT Approach.
VLSI Design, 1994

Structural and behavioral synthesis for testability techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

On the Initialization of Sequential Circuits.
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994

Iterative [simulation-based genetics + deterministic techniques]= complete ATPG0.
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994

Efficient simulation of switch-level circuits in a hierarchical simulation environment.
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994

Application of Simple Genetic Algorithms to Sequential Circuit Test Generation.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
Parallel-concurrent fault simulation.
IEEE Trans. Very Large Scale Integr. Syst., 1993

Benchmarking Parallel Processing Platforms: An Applications Perspective.
IEEE Trans. Parallel Distributed Syst., 1993

Switch-level timing simulation of bipolar ECL circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

VLSI logic and fault simulation on general-purpose parallel computers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

A novel behavioral testability measure.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

Concurrent Hierarchical and Multilevel Simulation of VLSI Circuits.
Simul., 1993

Recovery Issues in Databases Using Redundant Disk Arrays.
J. Parallel Distributed Comput., 1993

CHEETA: Composition of Hierarchical Sequential Tests Using ATKET.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

On Selecting Flip-Flops for Partial Reset.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993

Performance of Redundant Disk Array Organizations in Transaction Processing Environments.
Proceedings of the 1993 International Conference on Parallel Processing, 1993

Assigning Sites fto Redundant Clusters in a Distributed Storage System.
Proceedings of the 1993 International Conference on Parallel Processing, 1993

Augmented partial reset.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Fault behavior dictionary for simulation of device-level transients.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

1992
Robust switch-level test generation.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

Site Partitioning for Distributed Redundant Disk Arrays.
Proceedings of the RIDE-TQP '92, 1992

Database Recovery Using Redundant Disk Arrays.
Proceedings of the Eighth International Conference on Data Engineering, 1992

Hierarchical Simulation of MOS Circuits Using Extracted Functional Models.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

CRIS: a test cultivation program for sequential VLSI circuits.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Behavioral synthesis for testability.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

1991
Fault modeling and testing of self-timed circuits.
Proceedings of the 9th IEEE VLSI Test Symposium (VTS'91), 1991

Accessibility Analysis on Data Flow Graph: An Approach to Design for Testability.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991

BETA: Behavioral Testability Analysis.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Parallel switch-level simulation for VLSI.
Proceedings of the conference on European design automation, 1991

Functional abstraction of logic gates for switch-level simulation.
Proceedings of the conference on European design automation, 1991

1990
Hierarchical multi-level fault simulation of large systems.
J. Electron. Test., 1990

Design of a scalable parallel switch-level simulator for VLSI.
Proceedings of the Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990, 1990

Fault grading of large digital systems.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

SNEL: A Switch-Level Simulator Using Multiple Levels of Functional Abstraction.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990

Derivation of signal flow for switch-level simulation.
Proceedings of the European Design Automation Conference, 1990

1989
Parallel-concurrent fault simulation.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1989

Portable parallel logic and fault simulation.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

Automatic Generation of Behavioral Models from Switch-Level Descriptions.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

1988
CHAMP: concurrent hierarchical and multilevel program for simulation of VLSI circuits.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988

Delay Modeling and Time of Bipolar Digital Circuits.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1987
Switch-Level Logic Simulation of Digital Bipolar Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987


  Loading...