Donald Newell

According to our database1, Donald Newell authored at least 50 papers between 1998 and 2012.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
TMT: A TLB Tag Management Framework for Virtualized Platforms.
Int. J. Parallel Program., 2012

PCASA: Probabilistic control-adjusted Selective Allocation for shared caches.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
CHOP: Integrating DRAM Caches for CMP Server Platforms.
IEEE Micro, 2011

CoQoS: Coordinating QoS-aware shared resources in NoC-based SoCs.
J. Parallel Distributed Comput., 2011

2010
PIRATE: QoS and performance management in CMP architectures.
SIGMETRICS Perform. Evaluation Rev., 2010

A Simulation Framework for the Analysis of the TLB Behavior in Virtualized Environments.
Proceedings of the MASCOTS 2010, 2010

CHOP: Adaptive filter-based DRAM caching for CMP server platforms.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

NCID: a non-inclusive cache, inclusive directory architecture for flexible and efficient cache hierarchies.
Proceedings of the 7th Conference on Computing Frontiers, 2010

2009
Modeling virtual machine performance: challenges and approaches.
SIGMETRICS Perform. Evaluation Rev., 2009

Virtual platform architectures for resource metering in datacenters.
SIGMETRICS Perform. Evaluation Rev., 2009

VM<sup>3</sup>: Measuring, modeling and managing VM shared resources.
Comput. Networks, 2009

CMPSched$im: Evaluating OS/CMP interaction on shared cache management.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2009

Performance characterization and optimization of mobile augmented reality on handheld platforms.
Proceedings of the 2009 IEEE International Symposium on Workload Characterization, 2009

Rate-based QoS techniques for cache/memory in CMP platforms.
Proceedings of the 23rd international conference on Supercomputing, 2009

Accelerating mobile augmented reality on a handheld platform.
Proceedings of the 27th International Conference on Computer Design, 2009

Using checksum to reduce power consumption of display systems for low-motion content.
Proceedings of the 27th International Conference on Computer Design, 2009

Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

HiPPAI: High Performance Portable Accelerator Interface for SoCs.
Proceedings of the 16th International Conference on High Performance Computing, 2009

Evaluating implications of Virtual Worlds on server architecture using Second Life.
Proceedings of the 16th International Conference on High Performance Computing, 2009

2008
Towards hybrid last level caches for chip-multiprocessors.
SIGARCH Comput. Archit. News, 2008

Towards modeling & analysis of consolidated CMP servers.
SIGARCH Comput. Archit. News, 2008

Characterization & analysis of a server consolidation benchmark.
Proceedings of the 4th International Conference on Virtual Execution Environments, 2008

Implications of cache asymmetry on server consolidation performance.
Proceedings of the 4th International Symposium on Workload Characterization (IISWC 2008), 2008

Re-examining cache replacement policies.
Proceedings of the 26th International Conference on Computer Design, 2008

Achieving 10Gbps Network Processing: Are We There Yet?.
Proceedings of the High Performance Computing, 2008

To Snoop or Not to Snoop: Evaluation of Fine-Grain and Coarse-Grain Snoop Filtering Techniques.
Proceedings of the Euro-Par 2008, 2008

2007
Hardware Support for Accelerating Data Movement in Server Platform.
IEEE Trans. Computers, 2007

From chaos to QoS: case studies in CMP resource management.
SIGARCH Comput. Archit. News, 2007

Exploring Large-Scale CMP Architectures Using ManySim.
IEEE Micro, 2007

I/O processing in a virtualized platform: a simulation-driven approach.
Proceedings of the 3rd International Conference on Virtual Execution Environments, 2007

QoS policies and architecture for cache/memory in CMP platforms.
Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2007

Workloads, Scalability, and QoS Considerations in CMP Platforms.
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007

Addressing Cache/Memory Overheads in Enterprise Java CMP Servers.
Proceedings of the IEEE 10th International Symposium on Workload Characterization, 2007

Exploring DRAM cache architectures for CMP server platforms.
Proceedings of the 25th International Conference on Computer Design, 2007

Constraint-Aware Large-Scale CMP Cache Design.
Proceedings of the High Performance Computing, 2007

qTLB: Looking Inside the Look-Aside Buffer.
Proceedings of the High Performance Computing, 2007

CacheScouts: Fine-Grain Monitoring of Shared Caches in CMP Platforms.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

2006
Characterization of network processing overheads in Xen.
Proceedings of the First International Workshop on Virtualization Technology in Distributed Computing, 2006

Molecular Caches: A caching structure for dynamic creation of application-specific Heterogeneous cache regions.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

Architectural Characterization of VM Scaling on an SMP Machine.
Proceedings of the Frontiers of High Performance Computing and Networking, 2006

Exploring Small-Scale and Large-Scale CMP Architectures for Commercial Java Servers.
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006

Receive Side Coalescing for Accelerating TCP/IP Processing.
Proceedings of the High Performance Computing, 2006

2005
Exploring the cache design space for large scale CMPs.
SIGARCH Comput. Archit. News, 2005

Architectural Characterization of Processor Affinity in Network Processing.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005

An Architecture for Congestion Management in Ethernet Clusters.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Hardware Support for Bulk Data Movement in Server Platforms.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

2004
TCP Onloading for Data Center Servers.
Computer, 2004

ASPEN: Towards Effective Simulation of Threads and Engines in Evolving Platforms.
Proceedings of the 12th International Workshop on Modeling, 2004

An in-depth analysis of the impact of processor affinity on network performance.
Proceedings of the 12th IEEE International Conference on Networks, 2004

1998
RTP Payload Format for the 1998 Version of ITU-T Rec. H.263 Video (H.263+).
RFC, October, 1998


  Loading...