Bharadwaj S. Amrutur

Orcid: 0009-0007-5062-6174

Affiliations:
  • ERNET, India


According to our database1, Bharadwaj S. Amrutur authored at least 122 papers between 1992 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Active Diode of 100 Hz-4 MHz-Span With Bias-Free Analog Control Circuit.
IEEE Trans. Circuits Syst. II Express Briefs, January, 2024

2023
Event-Triggered Polynomial Control for Trajectory Tracking of Unicycle Robots.
CoRR, 2023

A Co-Simulation Framework for Communication and Control in Autonomous Multi-Robot Systems.
IROS, 2023

Robust and Scalable Indoor Robot Localization Based on Fusion of Infrastructure Camera Feeds and On-Board Sensors.
Proceedings of the 2023 6th International Conference on Advances in Robotics, 2023

2022
Tele-driving an electric vehicle over a private LTE network.
Proceedings of the 14th International Conference on COMmunication Systems & NETworkS, 2022

CORNET 2.0: A Co-Simulation Middleware for Robot Networks.
Proceedings of the 14th International Conference on COMmunication Systems & NETworkS, 2022

2021
CORNET 2.0: A Co-Simulation Middleware forRobot Networks.
CoRR, 2021

Stochastic Action Prediction for Imitation Learning.
CoRR, 2021

Scene Text Detection for Augmented Reality - Character Bigram Approach to reduce False Positive Rate.
CoRR, 2021

Imitation Learning for High Precision Peg-in-Hole Tasks.
CoRR, 2021

Exploiting Application Tolerance for Functional Safety.
Proceedings of the IEEE International Test Conference, 2021

Translating Natural Language Instructions to Computer Programs for Robot Manipulation.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

Spatial Reasoning from Natural Language Instructions for Robot Manipulation.
Proceedings of the IEEE International Conference on Robotics and Automation, 2021


Network Emulation For Tele-driving Application Development.
Proceedings of the 13th International Conference on COMmunication Systems & NETworkS, 2021

2020
Robust Quadrupedal Locomotion on Sloped Terrains: A Linear Policy Approach.
CoRR, 2020

Robust and Scalable Techniques for TWR and TDoA based localization using Ultra Wide Band Radios.
CoRR, 2020

Vermillion: A High-Performance Scalable IoT Middleware for Smart Cities.
CoRR, 2020

A RISC-V ISA Compatible Processor IP.
Proceedings of the 2020 24th International Symposium on VLSI Design and Test (VDAT), 2020

Learning Stable Manoeuvres in Quadruped Robots from Expert Demonstrations.
Proceedings of the 29th IEEE International Conference on Robot and Human Interactive Communication, 2020

Teaching Robots Novel Objects by Pointing at Them.
Proceedings of the 29th IEEE International Conference on Robot and Human Interactive Communication, 2020

On the Latency in Vehicular Control using Video Streaming over Wi-Fi.
Proceedings of the 2020 National Conference on Communications, 2020

Multi-Instance Aware Localization for End-to-End Imitation Learning.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020

Robust Quadrupedal Locomotion on Sloped Terrains: A Linear Policy Approach.
Proceedings of the 4th Conference on Robot Learning, 2020

CORNET: A Co-Simulation Middleware for Robot Networks.
Proceedings of the 2020 International Conference on COMmunication Systems & NETworkS, 2020

PUF Based Secure Framework for Hardware and Software Security of Drones.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2020

2019
Gait Library Synthesis for Quadruped Robots via Augmented Random Search.
CoRR, 2019

Design, Development and Experimental Realization of a Quadrupedal Research Platform: Stoch.
CoRR, 2019

Perturbation Based Workload Augmentation for Comprehensive Functional Safety Analysis.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Trajectory based Deep Policy Search for Quadrupedal Walking.
Proceedings of the 28th IEEE International Conference on Robot and Human Interactive Communication, 2019

Learning Active Spine Behaviors for Dynamic and Efficient Locomotion in Quadruped Robots.
Proceedings of the 28th IEEE International Conference on Robot and Human Interactive Communication, 2019

One-Shot Object Localization Using Learnt Visual Cues via Siamese Networks.
Proceedings of the 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2019

A simpy-based simulation testbed for smart-city IoT applications: poster abstract.
Proceedings of the International Conference on Internet of Things Design and Implementation, 2019

Realizing Learned Quadruped Locomotion Behaviors through Kinematic Motion Primitives.
Proceedings of the International Conference on Robotics and Automation, 2019

Interoperable middleware for smartcities Streetlighting on LoRaWAN as a case study.
Proceedings of the 11th International Conference on Communication Systems & Networks, 2019

2017
A Custom Designed RISC-V ISA Compatible Processor for SoC.
Proceedings of the VLSI Design and Test - 21st International Symposium, 2017

Schemas for IoT interoperability for smart cities.
Proceedings of the 4th ACM International Conference on Systems for Energy-Efficient Built Environments, 2017

Safety analysis for integrated circuits in the context of hybrid systems.
Proceedings of the IEEE International Test Conference, 2017

An Open Smart City IoT Test Bed: Street Light Poles as Smart City Spines: Poster Abstract.
Proceedings of the Second International Conference on Internet-of-Things Design and Implementation, 2017

A distributed object detector-tracker aided video encoder for smart camera networks.
Proceedings of the 11th International Conference on Distributed Smart Cameras, 2017

2016
A Variation-Tolerant Replica-Based Reference-Generation Technique for Single-Ended Sensing in Wide Voltage-Range SRAMs.
IEEE Trans. Very Large Scale Integr. Syst., 2016

WaterOpt: a method for checking near-feasibility of continuous water supply.
Proceedings of the 2016 International Workshop on Cyber-physical Systems for Smart Water Networks, 2016

2015
A Digital Frequency Multiplication Technique for Energy Efficient Transmitters.
IEEE Trans. Very Large Scale Integr. Syst., 2015

All Digital Energy Sensing for Minimum Energy Tracking.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Adaptive Pulse Width Control and Sampling for Low Power Pulse Oximetry.
IEEE Trans. Biomed. Circuits Syst., 2015

Design and implementation of spectrum sensing for cognitive radios with a frequency-hopping primary system.
Phys. Commun., 2015

A zero charge-pump mismatch current tracking loop for reference spur reduction in PLLs.
Microelectron. J., 2015

Performance analysis of wireless devices for a campus-wide IoT network.
Proceedings of the 13th International Symposium on Modeling and Optimization in Mobile, 2015

Towards a Real-Time Campus-Scale Water Balance Monitoring System.
Proceedings of the 28th International Conference on VLSI Design, 2015

Robot Navigation Using Neuro-electronic Hybrid Systems.
Proceedings of the 28th International Conference on VLSI Design, 2015

Towards an IoT based water management system for a campus.
Proceedings of the IEEE First International Smart Cities Conference, 2015

Improved Methods for Accurate Safety Analysis of Real-Life Systems.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Time-Based All-Digital Technique for Analog Built-in Self-Test.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Skip Decision and Reference Frame Selection for Low-Complexity H.264/AVC Surveillance Video Coding.
IEEE Trans. Circuits Syst. Video Technol., 2014

Toward a Scalable Working Set Size Estimation Method and Its Application for Chip Multiprocessors.
IEEE Trans. Computers, 2014

Input coding for neuro-electronic hybrid systems.
Biosyst., 2014

Energy Efficient Memory Decoder Design for Ultra-low Voltage Systems.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

A Time-Based Low Voltage Body Temperature Monitoring Unit.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Design and modeling of high-Q variable width and spacing, planar and 3-D stacked spiral inductors.
Proceedings of the 18th International Symposium on VLSI Design and Test, 2014

Soft real time implementation of a Cognitive Radio testbed for frequency hopping primary satisfying QoS requirements.
Proceedings of the Twentieth National Conference on Communications, 2014

Message from Nethealth workshop chairs.
Proceedings of the Sixth International Conference on Communication Systems and Networks, 2014

Continuous Core Body Temperature Estimation via SURFACE Temperature Measurements using Wearable Sensors - Is it Feasible?.
Proceedings of the BIODEVICES 2014, 2014

Design of a Wearable Remote Neonatal Health Monitoring Device.
Proceedings of the Biomedical Engineering Systems and Technologies, 2014

Low Power Remote Neonatal Temperature Monitoring Device.
Proceedings of the BIODEVICES 2014, 2014

2013
An 8-to-1 bit 1-MS/s SAR ADC With VGA and Integrated Data Compression for Neural Recording.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Experimental Study on Substrate Noise Effects of a Pulsed Clocking Scheme on PLL Performance.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Traffic engineered NoC for streaming applications.
Microprocess. Microsystems, 2013

Multiphase Technique to Speed-up Delay Measurement via Sub-sampling.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

LampTop: touch detection for a projector-camera system based on shape classification.
Proceedings of the ACM International Conference on Interactive Tabletops and Surfaces, 2013

2012
Dynamic Supply and Threshold Voltage Scaling for CMOS Digital Circuits Using In-Situ Power Monitor.
IEEE Trans. Very Large Scale Integr. Syst., 2012

An Accurate Fractional Period Delay Generation System.
IEEE Trans. Instrum. Meas., 2012

A CMOS Gas Sensor Array Platform With Fourier Transform Based Impedance Spectroscopy.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

CMOS Gas Sensor Array Platform with Fourier Transform Based Impedance Spectroscopy.
Proceedings of the 25th International Conference on VLSI Design, 2012

Comparison of OpAmp Based and Comparator Based Switched Capacitor Filter.
Proceedings of the Progress in VLSI Design and Test - 16th International Symposium, 2012

TCP: Thread Contention Predictor for Parallel Programs.
Proceedings of the 20th Euromicro International Conference on Parallel, 2012

A power-scalable RF CMOS receiver for 2.4 GHz Wireless Sensor Network applications.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Efficient cache exploration method for a tiled chip multiprocessor.
Proceedings of the 19th International Conference on High Performance Computing, 2012

2011
Adaptive Keeper Design for Dynamic Logic Circuits Using Rate Sensing Technique.
IEEE Trans. Very Large Scale Integr. Syst., 2011

0.84 ps Resolution Clock Skew Measurement via Subsampling.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Memory Bandwidth and Power Reduction Using Lossy Reference Frame Compression in Video Encoding.
IEEE Trans. Circuits Syst. Video Technol., 2011

An Area-Efficient Noise-Adaptive Neural Amplifier in 130 nm CMOS Technology.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

Power Scalable Digital Baseband Architecture for IEEE 802.15.4.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

A Low-Noise Low-Power Noise-Adaptive Neural Amplifier in 0.13um CMOS Technology.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Applying genetic algorithms to optimize the power in tiled SNUCA chip multicore architectures.
Proceedings of the 2011 ACM Symposium on Applied Computing (SAC), TaiChung, Taiwan, March 21, 2011

A mostly-digital analog scan-out chain for low bandwidth voltage measurement for analog IP test.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

A power scalable receiver front-end at 2.4 GHz.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Detection of Glycated Hemoglobin using 3-Aminophenylboronic Acid Modified Graphene Oxide.
Proceedings of the BIODEVICES 2011, 2011

Speeded up Gaussian Mixture Model algorithm for background subtraction.
Proceedings of the 8th IEEE International Conference on Advanced Video and Signal-Based Surveillance, 2011

2010
Voltage and Temperature Aware Statistical Leakage Analysis Framework Using Artificial Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

False Error Vulnerability Study of On-line Soft Error Detection Mechanisms.
J. Electron. Test., 2010

Accelerating multi-core simulators.
Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), 2010

In-situ power monitoring scheme and its application in dynamic voltage and threshold scaling for digital CMOS integrated circuits.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

2009
A Workload Based Lookup Table for Minimal Power Operation Under Supply and Body Bias Control.
J. Low Power Electron., 2009

Adaptive Global Elimination Algorithm for Low Power Motion Estimation (J. Low Power Electronics 5: 1-16 (2009)).
J. Low Power Electron., 2009

Adaptive Global Elimination Algorithm for Low Power Motion Estimation.
J. Low Power Electron., 2009

Latency, Power and Performance Trade-Offs in Network-on-Chips by Link Microarchitecture Exploration.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

2008
Voltage and Temperature Scalable Logic Cell Leakage Models Considering Local Variations Based on Transistor Stacks.
J. Low Power Electron., 2008

Voltage and Temperature Scalable Standard Cell Leakage Models Based on Stacks for Statistical Leakage Characterization.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

A 100MHz to 1GHz, 0.35V to 1.5V Supply 256 x 64 SRAM Block Using Symmetrized 9T SRAM Cell with Controlled Read.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Unified Vdd - Vth Optimization Based DVFM Controller for a Logic Block.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Energy Reduction in SRAM using Dynamic Voltage and Frequency Management.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Voltage and Temperature Scalable Gate Delay and Slew Models Including Intra-Gate Variations.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Optimal power and noise allocation for analog and digital sections of a low power radio receiver.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Power reduction in on-chip interconnection network by serialization.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Quadrature generation techniques for frequency multiplication based oscillators.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

False Error Study of On-line Soft Error Detection Mechanisms.
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008

An adaptive, feature-based low power motion estimation algorithm.
Proceedings of the 2008 IEEE International Conference on Multimedia and Expo, 2008

Within-die gate delay variability measurement using re-configurable ring oscillator.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2007
Modified Stability Checking for On-line Error Detection.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Low Power Pipelined TCAM Employing Mismatch Dependent Power Allocation Technique.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Continuous Time Sigma Delta Modulator Employing a Novel Comparator Architecture.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

A Low Power Frequency Multiplication Technique for ZigBee Transciever.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Area efficient loop filter design for charge pump phase locked loop.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

INTACTE: an interconnect area, delay, and energy estimation tool for microarchitectural explorations.
Proceedings of the 2007 International Conference on Compilers, 2007

Dynamic Cache Placement with Two-level Mapping to Reduce Conflict Misses.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

2006
Molecular Caches: A caching structure for dynamic creation of application-specific Heterogeneous cache regions.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

A scalable low power issue queue for large instruction window processors.
Proceedings of the 20th Annual International Conference on Supercomputing, 2006

2001
Fast low-power decoders for RAMs.
IEEE J. Solid State Circuits, 2001

2000
Speed and power scaling of SRAM's.
IEEE J. Solid State Circuits, 2000

1998
Low-power SRAM design using half-swing pulse-mode techniques.
IEEE J. Solid State Circuits, 1998

A replica technique for wordline and sense control in low-power SRAM's.
IEEE J. Solid State Circuits, 1998

1992
A projective geometry architecture for scientific computation.
Proceedings of the Application Specific Array Processors, 1992


  Loading...