Ramesh Illikkal

Affiliations:
  • Intel Labs, Hillsboro, OR, USA


According to our database1, Ramesh Illikkal authored at least 48 papers between 2004 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
RAPID: Enabling fast online policy learning in dynamic public cloud environments.
Neurocomputing, November, 2023

2021
Advances in Microprocessor Cache Architectures Over the Last 25 Years.
IEEE Micro, 2021

RAMBO: Resource Allocation for Microservices Using Bayesian Optimization.
IEEE Comput. Archit. Lett., 2021

2020
Predictable Performance for QoS-Sensitive, Scalable, Multi-tenant Function-as-a-Service Deployments.
Proceedings of the Agile Processes in Software Engineering and Extreme Programming - Workshops, 2020

Missing the Forest for the Trees: End-to-End AI Application Performance in Edge Data Centers.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

2019
AI Tax: The Hidden Cost of AI Data Center Applications.
ACM Trans. Comput. Syst., 2019

DeepSim: cluster level behavioural simulation model for deep learning.
Int. J. Big Data Intell., 2019

2018
A Systematic and Realistic Network-on-Chip Traffic Modeling and Generation Technique for Emerging Many-Core Systems.
IEEE Trans. Multi Scale Comput. Syst., 2018

2017
QoS Management on Heterogeneous Architecture for Multiprogrammed, Parallel, and Domain-Specific Applications.
IEEE Syst. J., 2017

DeepSim: Cluster Level Behavioral Simulation Model for Deep Learning.
Proceedings of the 15th IEEE Intl Conf on Dependable, 2017

2016
Cache QoS: From concept to reality in the Intel® Xeon® processor E5-2600 v3 product family.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

2014
A Case Study on the Communication and Computation Behaviors of Real Applications in NoC-Based MPSoCs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

QoS management on heterogeneous architecture for parallel applications.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

A systematic network-on-chip traffic modeling and generation methodology.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
Machine Learning-Based Runtime Scheduler for Mobile Offloading Framework.
Proceedings of the IEEE/ACM 6th International Conference on Utility and Cloud Computing, 2013

OpenCL-Based Remote Offloading Framework for Trusted Mobile Cloud Computing.
Proceedings of the 19th IEEE International Conference on Parallel and Distributed Systems, 2013

2012
TMT: A TLB Tag Management Framework for Virtualized Platforms.
Int. J. Parallel Program., 2012

SNARF: a social networking-inspired accelerator remoting framework.
Proceedings of the first edition of the MCC workshop on Mobile cloud computing, 2012

Leveraging Heterogeneity in DRAM Main Memories to Accelerate Critical Word Access.
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012

PCASA: Probabilistic control-adjusted Selective Allocation for shared caches.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Efficient interaction between OS and architecture in heterogeneous platforms.
ACM SIGOPS Oper. Syst. Rev., 2011

CogniServe: Heterogeneous Server Architecture for Large-Scale Recognition.
IEEE Micro, 2011

HeteroScouts: hardware assist for OS scheduling in heterogeneous CMPs.
Proceedings of the SIGMETRICS 2011, 2011

On the Performance of Tagged Translation Lookaside Buffers: A Simulation-Driven Analysis.
Proceedings of the MASCOTS 2011, 2011

Shared Resource Monitoring and Throughput Optimization in Cloud-Computing Datacenters.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

2010
PIRATE: QoS and performance management in CMP architectures.
SIGMETRICS Perform. Evaluation Rev., 2010

A Simulation Framework for the Analysis of the TLB Behavior in Virtualized Environments.
Proceedings of the MASCOTS 2010, 2010

2009
Modeling virtual machine performance: challenges and approaches.
SIGMETRICS Perform. Evaluation Rev., 2009

Virtual platform architectures for resource metering in datacenters.
SIGMETRICS Perform. Evaluation Rev., 2009

VM<sup>3</sup>: Measuring, modeling and managing VM shared resources.
Comput. Networks, 2009

Hardware/Software Co-Simulation for Last Level Cache Exploration.
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009

CMPSched$im: Evaluating OS/CMP interaction on shared cache management.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2009

Rate-based QoS techniques for cache/memory in CMP platforms.
Proceedings of the 23rd international conference on Supercomputing, 2009

HiPPAI: High Performance Portable Accelerator Interface for SoCs.
Proceedings of the 16th International Conference on High Performance Computing, 2009

2007
From chaos to QoS: case studies in CMP resource management.
SIGARCH Comput. Archit. News, 2007

Exploring Large-Scale CMP Architectures Using ManySim.
IEEE Micro, 2007

I/O processing in a virtualized platform: a simulation-driven approach.
Proceedings of the 3rd International Conference on Virtual Execution Environments, 2007

QoS policies and architecture for cache/memory in CMP platforms.
Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2007

Understanding the Memory Performance of Data-Mining Workloads on Small, Medium, and Large-Scale CMPs Using Hardware-Software Co-simulation.
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007

Addressing Cache/Memory Overheads in Enterprise Java CMP Servers.
Proceedings of the IEEE 10th International Symposium on Workload Characterization, 2007

Exploring DRAM cache architectures for CMP server platforms.
Proceedings of the 25th International Conference on Computer Design, 2007

Constraint-Aware Large-Scale CMP Cache Design.
Proceedings of the High Performance Computing, 2007

qTLB: Looking Inside the Look-Aside Buffer.
Proceedings of the High Performance Computing, 2007

CacheScouts: Fine-Grain Monitoring of Shared Caches in CMP Platforms.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

2006
Exploring Small-Scale and Large-Scale CMP Architectures for Commercial Java Servers.
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006

Receive Side Coalescing for Accelerating TCP/IP Processing.
Proceedings of the High Performance Computing, 2006

2004
TCP Onloading for Data Center Servers.
Computer, 2004

ASPEN: Towards Effective Simulation of Threads and Engines in Evolving Platforms.
Proceedings of the 12th International Workshop on Modeling, 2004


  Loading...