Srihari Makineni

According to our database1, Srihari Makineni authored at least 30 papers between 2004 and 2012.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2012
Exploiting Semantics of Virtual Memory to Improve the Efficiency of the On-Chip Memory System.
Proceedings of the Euro-Par 2012 Parallel Processing - 18th International Conference, 2012

2011
CHOP: Integrating DRAM Caches for CMP Server Platforms.
IEEE Micro, 2011

Cost-effectively offering private buffers in SoCs and CMPs.
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011

ACCESS: Smart scheduling for asymmetric cache CMPs.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

2010
Boomerang: Reducing Power Consumption of Response Packets in NoCs with Minimal Performance Impact.
IEEE Comput. Archit. Lett., 2010

CHOP: Adaptive filter-based DRAM caching for CMP server platforms.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

NCID: a non-inclusive cache, inclusive directory architecture for flexible and efficient cache hierarchies.
Proceedings of the 7th Conference on Computing Frontiers, 2010

2009
CMPSched$im: Evaluating OS/CMP interaction on shared cache management.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2009

Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

Characterization of Direct Cache Access on multi-core systems and 10GbE.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

Evaluating implications of Virtual Worlds on server architecture using Second Life.
Proceedings of the 16th International Conference on High Performance Computing, 2009

2008
Re-examining cache replacement policies.
Proceedings of the 26th International Conference on Computer Design, 2008

Achieving 10Gbps Network Processing: Are We There Yet?.
Proceedings of the High Performance Computing, 2008

To Snoop or Not to Snoop: Evaluation of Fine-Grain and Coarse-Grain Snoop Filtering Techniques.
Proceedings of the Euro-Par 2008, 2008

2007
Hardware Support for Accelerating Data Movement in Server Platform.
IEEE Trans. Computers, 2007

Exploring Large-Scale CMP Architectures Using ManySim.
IEEE Micro, 2007

QoS policies and architecture for cache/memory in CMP platforms.
Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2007

Addressing Cache/Memory Overheads in Enterprise Java CMP Servers.
Proceedings of the IEEE 10th International Symposium on Workload Characterization, 2007

Constraint-Aware Large-Scale CMP Cache Design.
Proceedings of the High Performance Computing, 2007

CacheScouts: Fine-Grain Monitoring of Shared Caches in CMP Platforms.
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007

2006
Characterization of network processing overheads in Xen.
Proceedings of the First International Workshop on Virtualization Technology in Distributed Computing, 2006

Molecular Caches: A caching structure for dynamic creation of application-specific Heterogeneous cache regions.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

Exploring Small-Scale and Large-Scale CMP Architectures for Commercial Java Servers.
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006

Receive Side Coalescing for Accelerating TCP/IP Processing.
Proceedings of the High Performance Computing, 2006

Communist, utilitarian, and capitalist cache policies on CMPs: caches as a shared resource.
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006

2005
Exploring the cache design space for large scale CMPs.
SIGARCH Comput. Archit. News, 2005

Anatomy and Performance of SSL Processing.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005

Hardware Support for Bulk Data Movement in Server Platforms.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

2004
TCP Onloading for Data Center Servers.
Computer, 2004

Architectural Characterization of TCP/IP Packet Processing on the Pentium M Microprocessor.
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004


  Loading...