Jay B. Brockman

According to our database1, Jay B. Brockman authored at least 41 papers between 1988 and 2016.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2016
Highly Scalable Near Memory Processing with Migrating Threads on the Emu System Architecture.
Proceedings of the 6th Workshop on Irregular Applications: Architecture and Algorithms, 2016

2015
History-Assisted Adaptive-Granularity Caches (HAAG$) for High Performance 3D DRAM Architectures.
Proceedings of the 29th ACM on International Conference on Supercomputing, 2015

2014
Engagement vs Performance: Using Electronic Portfolios to Predict First Semester Engineering Student Persistence.
J. Learn. Anal., November, 2014

Engagement vs performance: using electronic portfolios to predict first semester engineering student retention.
Proceedings of the Learning Analytics and Knowledge Conference 2014, 2014

Embedded real-time HD video deblurring.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2014

2013
The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing.
ACM Trans. Archit. Code Optim., 2013

2012
MAGE: adaptive granularity and ECC for resilient and power efficient memory systems.
Proceedings of the SC Conference on High Performance Computing Networking, 2012

CACTI-3DD: Architecture-level modeling for 3D die-stacked DRAM main memory.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Lightweight Chip Multi-Threading (LCMT): Maximizing Fine-Grained Parallelism On-Chip.
IEEE Trans. Parallel Distributed Syst., 2011

System implications of memory reliability in exascale computing.
Proceedings of the Conference on High Performance Computing Networking, 2011

CACTI-P: Architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

A review of an engineering teaching apprenticeship program.
Proceedings of the 2011 Frontiers in Education Conference, 2011

2009
McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

Performance analysis of accelerated image registration using GPGPU.
Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, 2009

2008
A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies.
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008

Memory model effects on application performance for a lightweight multithreaded architecture.
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008

Design of a mask-programmable memory/multiplier array using G4-FET technology.
Proceedings of the 45th Design Automation Conference, 2008

2007
A Heterogeneous Lightweight Multithreaded Architecture.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

2006
Facing up to the Inevitable: Intelligent Error Recovery in Massively Parallel Processing in Memory Architectures.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications & Conference on Real-Time Computing Systems and Applications, 2006

2005
PIM lite: a multithreaded processor-in-memory prototype.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

2004
A low cost, multithreaded processing-in-memory system.
Proceedings of the 3rd Workshop on Memory Performance Issues, 2004

Analysis and Modeling of Advanced PIM Architecture Design Tradeoffs.
Proceedings of the ACM/IEEE SC2004 Conference on High Performance Networking and Computing, 2004

2003
From Bits to Chips: A Multidisciplinary Curriculum for Microelectronics System Design Education.
Proceedings of the 2003 International Conference on Microelectronics Systems Education, 2003

Implications of a PIM Architectural Model for MPI.
Proceedings of the 2003 IEEE International Conference on Cluster Computing (CLUSTER 2003), 2003

2001
A web-based learning tool that simulates a simple computer architecture.
ACM SIGCSE Bull., 2001

A Microserver View of HTMT.
Proceedings of the 15th International Parallel & Distributed Processing Symposium (IPDPS-01), 2001

1999
A methodology for concurrent process-circuit optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Mapping Irregular Applications to DIVA, a PIM-based Data-Intensive Architecture.
Proceedings of the ACM/IEEE Conference on Supercomputing, 1999

Microservers: a new memory semantics for massively parallel computing.
Proceedings of the 13th international conference on Supercomputing, 1999

1998
Measurement and analysis of sequential design processes.
ACM Trans. Design Autom. Electr. Syst., 1998

Process Multi-Circuit Optimization.
Proceedings of the 35th Conference on Design Automation, 1998

1996
Sensitivity analysis of iterative design processes.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

A Methodology for Concurrent Fabrication Process/Cell Library Optimization.
Proceedings of the 33st Conference on Design Automation, 1996

Application of a Markov Model to the Measurement, Simulation, and Diagnosis of an Iterative Design Process.
Proceedings of the 33st Conference on Design Automation, 1996

1995
The schema-based approach to workflow management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

A Bayesian Approach to Variable Screening for Modeling the IC Fabrication Process.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Incorporating Design Schedule Management into a Flow Management System.
Proceedings of the 32st Conference on Design Automation, 1995

1993
Design Management Using Dynamically Defined Flows.
Proceedings of the 30th Design Automation Conference. Dallas, 1993

1992
A Schema-Based Approach to CAD Task Management.
Proceedings of the Electronic Design Automation Frameworks: When will the promise be realized? Proceedings of the Third IFIP WG10.2/WG10.5 Workshop on Electronic Design Automation Frameworks in cooperation with GI/ITG FG 3.5.6/5.2.6 Bad Lippspringe, 1992

1991
The Hercules CAD Task Management System.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

1988
Predictive subset testing for IC performance.
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988


  Loading...