Juan Sebastian Piedrahita Giraldo

Orcid: 0000-0002-1691-2915

According to our database1, Juan Sebastian Piedrahita Giraldo authored at least 13 papers between 2015 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
TinyVers: A Tiny Versatile System-on-Chip With State-Retentive eMRAM for ML Inference at the Extreme Edge.
IEEE J. Solid State Circuits, 2023

2022
TinyVers: A 0.8-17 TOPS/W, 1.7 μW-20 mW, Tiny Versatile System-on-chip with State-Retentive eMRAM for Machine Learning Inference at the Extreme Edge.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

DIANA: An End-to-End Energy-Efficient Digital and ANAlog Hybrid Neural Network SoC.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

2021
Efficient Execution of Temporal Convolutional Networks for Embedded Keyword Spotting.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Hardware Acceleration for Embedded Keyword Spotting: Tutorial and Survey.
ACM Trans. Embed. Comput. Syst., 2021

ZigZag: Enlarging Joint Architecture-Mapping Design Space Exploration for DNN Accelerators.
IEEE Trans. Computers, 2021

2020
Vocell: A 65-nm Speech-Triggered Wake-Up SoC for 10- $\mu$ W Keyword Spotting and Speaker Verification.
IEEE J. Solid State Circuits, 2020

ZigZag: A Memory-Centric Rapid DNN Accelerator Design Space Exploration Framework.
CoRR, 2020

2019
18μW SoC for near-microphone Keyword Spotting and Speaker Verification.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

Efficient Keyword Spotting through Hardware-Aware Conditional Execution of Deep Neural Networks.
Proceedings of the 16th IEEE/ACS International Conference on Computer Systems and Applications, 2019

2018
Laika: A 5uW Programmable LSTM Accelerator for Always-on Keyword Spotting in 65nm CMOS.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

2016
Leveraging Compiler Support on VLIW Processors for Efficient Power Gating.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

2015
Evaluation of energy savings on a VLIW processor through dynamic issue-width adaptation.
Proceedings of the 2015 International Symposium on Rapid System Prototyping, 2015


  Loading...