Anderson Luiz Sartor

Orcid: 0000-0003-1759-2762

According to our database1, Anderson Luiz Sartor authored at least 27 papers between 2013 and 2020.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of two.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2020
Runtime Task Scheduling Using Imitation Learning for Heterogeneous Many-Core Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

DS3: A System-Level Domain-Specific System-on-Chip Simulation Framework.
IEEE Trans. Computers, 2020

HiLITE: Hierarchical and Lightweight Imitation Learning for Power Management of Embedded SoCs.
IEEE Comput. Archit. Lett., 2020

2019
Memory- and Communication-Aware Model Compression for Distributed Deep Learning Inference on IoT.
ACM Trans. Embed. Comput. Syst., 2019

A fast and accurate hybrid fault injection platform for transient and permanent faults.
Des. Autom. Embed. Syst., 2019

Work-in-Progress: A Simulation Framework for Domain-Specific System-on-Chips.
CoRR, 2019

Machine Learning-Based Processor Adaptability Targeting Energy, Performance, and Reliability.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

A simulation framework for domain-specific system-on-chips: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019

2018
Dynamic Trade-off among Fault Tolerance, Energy Consumption, and Performance on a Multiple-Issue VLIW Processor.
IEEE Trans. Multi Scale Comput. Syst., 2018

BRAM-based function reuse for multi-core architectures in FPGAs.
Microprocess. Microsystems, 2018

Adaptive and polymorphic VLIW processor to optimize fault tolerance, energy consumption, and performance.
Proceedings of the 15th ACM International Conference on Computing Frontiers, 2018

DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

ISA-DTMR: Selective Protection in Configurable Heterogeneous Multicores.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

A Low-Cost BRAM-Based Function Reuse for Configurable Soft-Core Processors in FPGAs.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

2017
Multi-architecture profiler for Android.
Int. J. High Perform. Syst. Archit., 2017

Simbah-FI: Simulation-Based Hybrid Fault Injector.
Proceedings of the VII Brazilian Symposium on Computing Systems Engineering, 2017

2016
Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors.
ACM J. Emerg. Technol. Comput. Syst., 2016

How Programming Languages and Paradigms Affect Performance and Energy in Multithreaded Applications.
Proceedings of the VI Brazilian Symposium on Computing Systems Engineering, 2016

Run-time phase prediction for a reconfigurable VLIW processor.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Adaptive ILP control to increase fault tolerance for VLIW processors.
Proceedings of the 27th IEEE International Conference on Application-specific Systems, 2016

2015
Evaluation of energy savings on a VLIW processor through dynamic issue-width adaptation.
Proceedings of the 2015 International Symposium on Rapid System Prototyping, 2015

A sparse VLIW instruction encoding scheme compatible with generic binaries.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

A Novel Phase-Based Low Overhead Fault Tolerance Approach for VLIW Processors.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Optimized Use of Parallel Programming Interfaces in Multithreaded Embedded Architectures.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

The Impact of Virtual Machines on Embedded Systems.
Proceedings of the 39th IEEE Annual Computer Software and Applications Conference, 2015

The Influence of Parallel Programming Interfaces on Multicore Embedded Systems.
Proceedings of the 39th IEEE Annual Computer Software and Applications Conference, 2015

2013
AndroProf: A Profiling Tool for the Android Platform.
Proceedings of the III Brazilian Symposium on Computing Systems Engineering, 2013


  Loading...