Keiko Abe

According to our database1, Keiko Abe authored at least 15 papers between 2005 and 2017.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2017
Novel memory hierarchy with e-STT-MRAM for near-future applications.
Proceedings of the 2017 International Symposium on VLSI Design, Automation and Test, 2017

2016
7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
7.5 A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
Novel STT-MRAM-based last level caches for high performance processors using normally-off architectures.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

Novel nonvolatile memory hierarchies to realize "normally-off mobile processors".
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

Pictogram-based tablet application enabling patient input of emotions and behaviors.
Proceedings of the AMIA 2014, 2014

2013
D-MRAM cache: enhancing energy efficiency with 3T-1MTJ DRAM/MRAM hybrid memory.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Designing Nonvolatile Reconfigurable Switch-based FPGA through Overall Circuit Performance Evaluation.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

2010
Performance analysis of 3D-IC for multi-core processors in sub-65nm CMOS technologies.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

High-performance FPGA based on novel DSS-MOSFET and non-volatile configuration memory (abstract only).
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010

2009
Perspectives and Issues in 3D-IC from Designers' Point of View.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2007
3-D Nanoarchitectures With Carbon Nanotube Mechanical Switches for Future On-Chip Network Beyond CMOS Architecture.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

2006
Novel Design of Three-Dimensional Crossbar for Future Network on Chip based on Post-Silicon Devices.
Proceedings of the 1st International ICST Conference on Nano-Networks, 2006

3D on-chip networking technology based on post-silicon devices for future networks-on-chip.
Proceedings of the 1st International ICST Conference on Nano-Networks, 2006

2005
Physical random number generators for cryptographic application in mobile devices.
Proceedings of the 31st European Solid-State Circuits Conference, 2005


  Loading...