Atsushi Kawasumi

According to our database1, Atsushi Kawasumi authored at least 28 papers between 2002 and 2020.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2020
Introduction to the Special Section on the 2019 Asian Solid-State Circuits Conference (A-SSCC).
IEEE J. Solid State Circuits, 2020

2017
Introduction to the January Special Issue on the 2016 IEEE International Solid-State Circuits Conference.
IEEE J. Solid State Circuits, 2017

2016
7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Session 17 overview: SRAM.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
7.5 A 3.3ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
A 27% Active and 85% Standby Power Reduction in Dual-Power-Supply SRAM Using BL Power Calculator and Digitally Controllable Retention Circuit.
IEEE J. Solid State Circuits, 2014

2013
Highly Energy-Efficient SRAM With Hierarchical Bit Line Charge-Sharing Method Using Non-Selected Bit Line Charges.
IEEE J. Solid State Circuits, 2013

Session 18 overview: Advanced embedded SRAM.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
A 13.8pJ/Access/Mbit SRAM with charge collector circuits for effective use of non-selected bit line charges.
Proceedings of the Symposium on VLSI Circuits, 2012

A 47% access time reduction with a worst-case timing-generation scheme utilizing a statistical method for ultra low voltage SRAMs.
Proceedings of the Symposium on VLSI Circuits, 2012

A 40-nm 256-Kb Sub-10 pJ/Access 8t SRAM with read bitline amplitude limiting (RBAL) scheme.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Energy efficiency deterioration by variability in SRAM and circuit techniques for energy saving without voltage reduction.
Proceedings of the IEEE International Conference on IC Design & Technology, 2012

60% Cycle time acceleration, 55% energy reduction, 32Kbit SRAM by auto-selective boost (ASB) scheme for slow memory cells in random variations.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
Post-Silicon Clock Deskew Employing Hot-Carrier Injection Trimming With On-Chip Skew Monitoring and Auto-Stressing Scheme for Sub/Near Threshold Digital Circuits.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

A Digitized Replica Bitline Delay Technique for Random-Variation-Tolerant Timing Generation of SRAM Sense Amplifiers.
IEEE J. Solid State Circuits, 2011

0.4V SRAM with bit line swing suppression charge share hierarchical bit line scheme.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011

A trimless, 0.5V-1.0V wide voltage operation, high density SRAM macro utilizing dynamic cell stability monitor and multiple memory cell access.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

Energy efficiency degradation caused by random variation in low-voltage SRAM and 26% energy reduction by Bitline Amplitude Limiting (BAL) scheme.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
A Low-Supply-Voltage-Operation SRAM With HCI Trimmed Sense Amplifiers.
IEEE J. Solid State Circuits, 2010

A configurable SRAM with constant-negative-level write buffer for low-voltage operation with 0.149µm<sup>2</sup> cell in 32nm high-k metal-gate CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

0.5-V, 150-MHz, bulk-CMOS SRAM with suspended bit-line read scheme.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
A 0.7 V Single-Supply SRAM With 0.495 µm<sup>2</sup> Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme.
IEEE J. Solid State Circuits, 2009

A process-variation-tolerant dual-power-supply SRAM with 0.179µm<sup>2</sup> Cell in 40nm CMOS using level-programmable wordline driver.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

2008
A Single-Power-Supply 0.7V 1GHz 45nm SRAM with An Asymmetrical Unit-×-ratio Memory Cell.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2007
Microarchitecture and implementation of the synergistic processor in 65-nm and 90-nm SOI.
IBM J. Res. Dev., 2007

2005
Low-Power Design Approach of 11FO4 256-Kbyte Embedded SRAM for the Synergistic Processor Element of a Cell Processor.
IEEE Micro, 2005

The circuit design of the synergistic processor element of a CELL processor.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

2002
DFT Techniques for Wafer-Level At-Speed Testing of High-Speed SRAMs.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002


  Loading...