Makoto Miyamura

According to our database1, Makoto Miyamura authored at least 15 papers between 2011 and 2022.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
28nm Atom-Switch FPGA: Static Timing Analysis and Evaluation.
IEICE Trans. Electron., October, 2022

Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation.
IEEE J. Solid State Circuits, 2022

2020
ON-state retention of Atom Switch eNVM for IoT/AI Inference Solution.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

A 171k-LUT Nonvolatile FPGA using Cu Atom-Switch Technology in 28nm CMOS.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

2018
Sensor Signal Processing Using High-Level Synthesis With a Layered Architecture.
IEEE Embed. Syst. Lett., 2018

2017
NanoBridge-Based FPGA in High-Temperature Environments.
IEEE Micro, 2017

2016
A 2× logic density Programmable Logic array using atom switch fully implemented with logic transistors at 40nm-node and beyond.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

2015
A Silicon-on-Thin-Buried-Oxide CMOS Microcontroller with Embedded Atom-Switch ROM.
IEEE Micro, 2015

Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM.
Proceedings of the Symposium on VLSI Circuits, 2015

0.5-V Highly Power-Efficient Programmable Logic using Nonvolatile Configuration Switch in BEOL.
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

Architecture of Reconfigurable-Logic Cell Array with Atom Switch: Cluster Size & Routing Fabrics (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

0.39-V, 18.26-µW/MHz SOTB CMOS Microcontroller with embedded atom switch ROM.
Proceedings of the 2015 IEEE Symposium in Low-Power and High-Speed Chips, 2015

2014
Low-power programmable-logic cell arrays using nonvolatile complementary atom switch.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

2012
A non-volatile reconfigurable offloader for wireless sensor nodes.
SIGARCH Comput. Archit. News, 2012

2011
Programmable cell array using rewritable solid-electrolyte switch integrated in 90nm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011


  Loading...