Xu Bai

Orcid: 0000-0003-0253-0884

According to our database1, Xu Bai authored at least 92 papers between 2008 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Fast Array Ground Penetrating Radar Localization by CNN-Based Optimization Method.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2024

InstantID: Zero-shot Identity-Preserving Generation in Seconds.
CoRR, 2024

2023
Learning Discriminative Text Representation for Streaming Social Event Detection.
IEEE Trans. Knowl. Data Eng., December, 2023

Prediction of fault evolution and remaining useful life for rolling bearings with spalling fatigue using digital twin technology.
Appl. Intell., December, 2023

Multi-View Tensor Graph Neural Networks Through Reinforced Aggregation.
IEEE Trans. Knowl. Data Eng., April, 2023

Measurement of Transient Flash Evaporation Flow in Liquefied Gas Propulsion Using Dual-Plane ECT.
IEEE Trans. Instrum. Meas., 2023

Bladder Volume Estimation Using 3-D Electrical Impedance Tomography Based on Fringe Field Sensing.
IEEE Trans. Instrum. Meas., 2023

RDGCN: Reinforced Dependency Graph Convolutional Network for Aspect-based Sentiment Analysis.
CoRR, 2023

Electrode Layout Optimization for 3D Bladder Electrical Impedance Tomography with Sparse Representation.
Proceedings of the IEEE International Conference on Imaging Systems and Techniques, 2023

Design and Comparison of Two Lock-In Amplifiers Using Demodulators AD630 and ADA2200.
Proceedings of the IEEE International Instrumentation and Measurement Technology Conference, 2023

Multi-omics Sampling-based Graph Transformer for Synthetic Lethality Prediction.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2023

2022
28nm Atom-Switch FPGA: Static Timing Analysis and Evaluation.
IEICE Trans. Electron., October, 2022

A Modified Noise Model of Electrical Impedance Tomography System by Considering Colored Noises.
IEEE Trans. Instrum. Meas., 2022

Research on the impact of global innovation network on corporate performance.
Technol. Anal. Strateg. Manag., 2022

Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation.
IEEE J. Solid State Circuits, 2022

Aspect Is Not You Need: No-aspect Differential Sentiment Framework for Aspect-based Sentiment Analysis.
Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, 2022

Micro Scale Electrical Impedance Tomography Sensor for Dynamic Cell Imaging.
Proceedings of the IEEE International Conference on Imaging Systems and Techniques, 2022

Electrical Impedance Tomography System Based on Time Interleaved Analog to Digital Converter.
Proceedings of the IEEE International Conference on Imaging Systems and Techniques, 2022

Domain-Aware Federated Social Bot Detection with Multi-Relational Graph Neural Networks.
Proceedings of the International Joint Conference on Neural Networks, 2022

Improving Factual Consistency of Dialogue Summarization with Fact-Augmentation Mechanism.
Proceedings of the International Joint Conference on Neural Networks, 2022

Recognition For Underground Voids in C-SCANS Based On LSTM Using Ground Penetrating Radar.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2022

Recognition for Underground Voids in C-Scans Based on GRU Using Ground Penetrating Radar.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2022

Cross-Network Social User Embedding with Hybrid Differential Privacy Guarantees.
Proceedings of the 31st ACM International Conference on Information & Knowledge Management, 2022

2021
MSCNN: Steganographer Detection Based on Multi-Scale Convolutional Neural Networks.
Proceedings of the Wireless Algorithms, Systems, and Applications, 2021

Dual Adversarial Network Based on BERT for Cross-domain Sentiment Classification.
Proceedings of the Natural Language Processing and Chinese Computing, 2021

Cross-Network Community Sensing for Anchor Link Prediction.
Proceedings of the International Joint Conference on Neural Networks, 2021

A Self-Supervised Learning Framework for Sequential Recommendation.
Proceedings of the International Joint Conference on Neural Networks, 2021

Subsurface Voids Detection from Limited Ground Penetrating Radar Data Using Generative Adversarial Network and YOLOV5.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2021

Multi-order Proximity Graph Structure Embedding.
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2021

2020
Research on the impact of global innovation network on 3D printing industry performance.
Scientometrics, 2020

Single image super-resolution via low-rank tensor representation and hierarchical dictionary learning.
Multim. Tools Appl., 2020

Predicting the popularity of micro-videos via a feature-discrimination transductive model.
Multim. Syst., 2020

A High Precision Output Impedance Calibration Technique for SST Transmitter.
J. Circuits Syst. Comput., 2020

A Fault Detection and Diagnosis Method for Via-Switch Crossbar in Non-Volatile FPGA.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

Erratum: A low-overhead error detection and correction technique with a relaxed error timing constraint for variation-tolerance [IEICE Electronics Express Vol. 16 (2019) No. 14 pp. 20190342].
IEICE Electron. Express, 2020

Erratum: Snake: An asynchronous pipeline for ultra-low-power applications [IEICE Electronics Express Vol. 16 (2019) No. 12 pp. 20190293].
IEICE Electron. Express, 2020

GLRT-based spectrum sensing by exploiting Multitaper Spectral Estimation for cognitive radio network.
Ad Hoc Networks, 2020

2-D high precision DOA estimation based on SVM.
Proceedings of the 92nd IEEE Vehicular Technology Conference, 2020

A Novel Gain Control Method Based On Extremum Envelope For High Speed Array GPR.
Proceedings of the 92nd IEEE Vehicular Technology Conference, 2020

The Design of FIR Filter Based on Improved DA and Implementation to High-Speed Ground Penetrating Radar System.
Proceedings of the 16th International Wireless Communications and Mobile Computing Conference, 2020

33.3 Via-Switch FPGA: 65nm CMOS Implementation and Architecture Extension for Al Applications.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

ON-state retention of Atom Switch eNVM for IoT/AI Inference Solution.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

A 171k-LUT Nonvolatile FPGA using Cu Atom-Switch Technology in 28nm CMOS.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

Fault Diagnosis of Via-Switch Crossbar in Non-volatile FPGA.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
A low-overhead error detection and correction technique with a relaxed error timing constraint for variation-tolerance.
IEICE Electron. Express, 2019

Snake: An asynchronous pipeline for ultra-low-power applications.
IEICE Electron. Express, 2019

Design of low-power low-area asynchronous iterative multiplier.
IEICE Electron. Express, 2019

A 1.89 mW/Gbps SST transmitter with three-tap FFE and impedance calibration.
IEICE Electron. Express, 2019

A self-adaptive correction method for perspective distortions of image.
Frontiers Comput. Sci., 2019

A hybrid ARM-FPGA cluster for cryptographic algorithm acceleration.
Concurr. Comput. Pract. Exp., 2019

A 3-D Migration Imaging Algorithm Suitable for Expressway Detection.
Proceedings of the Wireless and Satellite Systems, 2019

Automatic Identification of Underground Pipeline Based on Ground Penetrating Radar.
Proceedings of the Wireless and Satellite Systems, 2019

Improving Sentence Representations with Local and Global Attention for Classification.
Proceedings of the International Joint Conference on Neural Networks, 2019

A New Feature Selection Algorithm Based on Category Difference for Text Categorization.
Proceedings of the Web and Big Data - Third International Joint Conference, 2019

2018
Low-Rank Multi-View Embedding Learning for Micro-Video Popularity Prediction.
IEEE Trans. Knowl. Data Eng., 2018

Graph regularized low-rank tensor representation for feature selection.
J. Vis. Commun. Image Represent., 2018

Blind Calibration Method for Two-Channel Time-Interleaved Analog-to-Digital Converters Based on FFT.
J. Electron. Test., 2018

Channel Estimation for FBMC/OQAM with Fast Fading Channels by Kalman Filter.
Proceedings of the 14th International Wireless Communications & Mobile Computing Conference, 2018

A High-Performance Round-Robin Regular Expression Matching Architecture Based on FPGA.
Proceedings of the 2018 IEEE Symposium on Computers and Communications, 2018

Particle Filter with Correction of Initial State for Direction of Arrival Tracking.
Proceedings of the Communications, Signal Processing, and Systems, 2018

Booter Blacklist Generation Based on Content Characteristics.
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2018

2017
NanoBridge-Based FPGA in High-Temperature Environments.
IEEE Micro, 2017

An FPGA-Based Algorithm to Accelerate Regular Expression Matching.
Proceedings of the Security, Privacy, and Anonymity in Computation, Communication, and Storage, 2017

Password Recovery for ZIP Files Based on ARM-FPGA Cluster.
Proceedings of the Security, Privacy, and Anonymity in Computation, Communication, and Storage, 2017

Acceleration of RSA processes based on hybrid ARM-FPGA cluster.
Proceedings of the 2017 IEEE Symposium on Computers and Communications, 2017

High Performance Regular Expression Matching on FPGA.
Proceedings of the Collaborative Computing: Networking, Applications and Worksharing, 2017

2016
A 2× logic density Programmable Logic array using atom switch fully implemented with logic transistors at 40nm-node and beyond.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

A scalable architecture for low-latency market-data processing on FPGA.
Proceedings of the IEEE Symposium on Computers and Communication, 2016

2015
Eavesdropping-Based Gossip Algorithms for Distributed Consensus in Wireless Sensor Networks.
IEEE Signal Process. Lett., 2015

Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM.
Proceedings of the Symposium on VLSI Circuits, 2015

Architecture of Reconfigurable-Logic Cell Array with Atom Switch: Cluster Size & Routing Fabrics (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

2014
Implementation of Voltage-Mode/Current-Mode Hybrid Circuits for a Low-Power Fine-Grain Reconfigurable VLSI.
IEICE Trans. Electron., 2014

Multiple-Valued Fine-Grain Reconfigurable VLSI Using a Global Tree Local X-Net Network.
IEICE Trans. Inf. Syst., 2014

Design of a Logic-in-Memory Multiple-Valued Reconfigurable VLSI Based on a Bit-Serial Packet Data Transfer Scheme.
Proceedings of the IEEE 44th International Symposium on Multiple-Valued Logic, 2014

RSA Encryption/Decryption Implementation Based on Zedboard.
Proceedings of the Trustworthy Computing and Services - International Conference, 2014

2013
A Multiple-Valued Reconfigurable VLSI Architecture Using Binary-Controlled Differential-Pair Circuits.
IEICE Trans. Electron., 2013

A Bit-Serial Reconfigurable VLSI Based on a Multiple-Valued X-Net Data Transfer Scheme.
IEICE Trans. Inf. Syst., 2013

An Area-Efficient Multiple-Valued Reconfigurable VLSI Architecture Using an X-Net.
Proceedings of the 43rd IEEE International Symposium on Multiple-Valued Logic, 2013

Low-Power Multiple-Valued Source-Coupled Logic Circuits Using Dual-Supply Voltages for a Reconfigurable VLSI.
Proceedings of the 43rd IEEE International Symposium on Multiple-Valued Logic, 2013

2012
Hybrid modeling and simulation for trustworthy software process management: a stakeholder-oriented approach.
J. Softw. Evol. Process., 2012

A Digit-Serial Reconfigurable VLSI Based on Quaternary Inter-Cell Data Transfer Scheme.
J. Multiple Valued Log. Soft Comput., 2012

Current-Source-Sharing Differential-Pair Circuits for a Low-Power Fine-Grain Reconfigurable VLSI Architecture.
Proceedings of the 42nd IEEE International Symposium on Multiple-Valued Logic, 2012

2011
AutoODC: Automated generation of Orthogonal Defect Classifications.
Proceedings of the 26th IEEE/ACM International Conference on Automated Software Engineering (ASE 2011), 2011

GoPoMoSA: a goal-oriented process modeling and simulation advisor.
Proceedings of the International Conference on Software and Systems Process, 2011

Empirical Research in Software Process Modeling: A Systematic Literature Review.
Proceedings of the 5th International Symposium on Empirical Software Engineering and Measurement, 2011

An empirical assessment of a systematic search process for systematic reviews.
Proceedings of the 15th International Conference on Evaluation & Assessment in Software Engineering, 2011

2010
On Scoping Stakeholders and Artifacts in Software Process.
Proceedings of the New Modeling Concepts for Today's Software Processes, 2010

2009
Incremental Process Modeling through Stakeholder-Based Hybrid Process Simulation.
Proceedings of the Trustworthy Software Development Processes, 2009

Risk Perception in Modeling Malware Propagation in Networks.
Proceedings of the CSIE 2009, 2009 WRI World Congress on Computer Science and Information Engineering, March 31, 2009

The Quantitative Evaluation on X3D-Based ORGDM.
Proceedings of the CSIE 2009, 2009 WRI World Congress on Computer Science and Information Engineering, March 31, 2009

2008
Developing a SSE-CMM-based security risk assessment process for patient-centered healthcare systems.
Proceedings of the 6th international workshop on Software quality, 2008

Modeling Malicious Code Spread in Scale-Free Networks of Moving Agents.
Proceedings of the International Conference on Computer Science and Software Engineering, 2008


  Loading...