Nima Karimpour Darav

Orcid: 0000-0003-2935-3558

According to our database1, Nima Karimpour Darav authored at least 17 papers between 2008 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
A Machine Learning Approach for Accelerating SimPL-Based Global Placement for FPGA's.
Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding, 2022

2020
Eh?Predictor: A Deep Learning Framework to Identify Detailed Routing Short Violations From a Placed Netlist.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
Multi-Commodity Flow-Based Spreading in a Commercial Analytic Placer.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

2018
Eh?Legalizer: A High Performance Standard-Cell Legalizer Observing Technology Constraints.
ACM Trans. Design Autom. Electr. Syst., 2018

A machine learning framework to identify detailed routing short violations from a placed netlist.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Detailed routing violation prediction during placement using machine learning.
Proceedings of the 2017 International Symposium on VLSI Design, Automation and Test, 2017

A Fast, Robust Network Flow-based Standard-Cell Legalization Method for Minimizing Maximum Movement.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

DATC RDF: Robust design flow database: Invited paper.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

ICCAD-2017 CAD contest in multi-deck standard cell legalization and benchmarks.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Eh?Placer: A High-Performance Modern Technology-Driven Placer.
ACM Trans. Design Autom. Electr. Syst., 2016

Routing-Aware Incremental Timing-Driven Placement.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

2015
The impact of industry-organized contests on EDA education.
Proceedings of the 2015 IEEE International Conference on Microelectronics Systems Education, 2015

A Detailed Routing-Aware Detailed Placement Technique.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

High Performance Global Placement and Legalization Accounting for Fence Regions.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
CBM-Of-TRaCE: An Ontology-Driven Framework for the Improvement of Business Service Traceability, Consistency Management and Reusability.
CoRR, 2014

Detailed placement accounting for technology constraints.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

2008
Polymorphism-Aware Common Bus in an Object-Oriented ASIP.
Proceedings of the Advances in Computer Science and Engineering, 2008


  Loading...