Iris Hui-Ru Jiang

Orcid: 0000-0002-4554-3442

According to our database1, Iris Hui-Ru Jiang authored at least 107 papers between 1999 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Novel Airgap Insertion and Layer Reassignment for Timing Optimization Guided by Slack Dependency.
Proceedings of the 2024 International Symposium on Physical Design, 2024

Power Sub-Mesh Construction in Multiple Power Domain Design with IR Drop and Routability Optimization.
Proceedings of the 2024 International Symposium on Physical Design, 2024

Slack Redistributed Register Clustering with Mixed-Driving Strength Multi-bit Flip-Flops.
Proceedings of the 2024 International Symposium on Physical Design, 2024

2023
Introduction to the Special Section on Advances in Physical Design Automation.
ACM Trans. Design Autom. Electr. Syst., September, 2023

EDA for Domain Specific Computing: An Introduction for the Panel.
Proceedings of the 2023 International Symposium on Physical Design, 2023

Lightning Talk: All Routes to Timing Closure.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Deadlock Resolution for Intelligent Intersection Management with Changeable Trajectories.
Proceedings of the 2022 IEEE Intelligent Vehicles Symposium, 2022

Clock Design Methodology for Energy and Computation Efficient Bitcoin Mining Machines.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

Intelligent Design Automation for Heterogeneous Integration.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

Sub-Resolution Assist Feature Generation with Reinforcement Learning and Transfer Learning.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Deadlock Analysis and Prevention for Intersection Management Based on Colored Timed Petri Nets.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Many-Layer Hotspot Detection by Layer-Attentioned Visual Question Answering.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Timing macro modeling with graph neural networks.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Novel Guiding Template and Mask Assignment for DSA-MP Hybrid Lithography Using Multiple BCP Materials.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

OpenMPL: An Open-Source Layout Decomposer.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Efficient Mandatory Lane Changing of Connected and Autonomous Vehicles.
Proceedings of the 94th IEEE Vehicular Technology Conference, 2021

Opportunities for 2.5/3D Heterogeneous SoC Integration.
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2021

DATC RDF-2021: Design Flow and Beyond ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Subresolution Assist Feature Insertion by Variational Adversarial Active Learning and Clustering with Data Point Retrieval.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
iClaire: A Fast and General Layout Pattern Classification Algorithm With Clip Shifting and Centroid Recreation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

A Dynamic Programming Approach to Optimal Lane Merging of Connected and Autonomous Vehicles.
Proceedings of the IEEE Intelligent Vehicles Symposium, 2020

Intelligent Design Automation for 2.5/3D Heterogeneous SoC Integration.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Dynamic IR-Drop ECO Optimization by Cell Movement with Current Waveform Staggering and Machine Learning Guidance.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

DATC RDF-2020: Strengthening the Foundation for Academic Research in IC Physical Design.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Late Breaking Results: Design Dependent Mega Cell Methodology for Area and Power Optimization.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Routing Topology and Time-Division Multiplexing Co-Optimization for Multi-FPGA Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Fast and Accurate Wire Timing Estimation on Tree and Non-Tree Net Structures.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Equivalent Capacitance Guided Dummy Fill Insertion for Timing and Manufacturability.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Graph-Based Modeling, Scheduling, and Verification for Intersection Management of Intelligent Vehicles.
ACM Trans. Embed. Comput. Syst., 2019

Graceful Register Clustering by Effective Mean Shift Algorithm for Power and Timing Balancing.
Proceedings of the 2019 International Symposium on Physical Design, 2019

Multiple Patterning Layout Compliance with Minimizing Topology Disturbance and Polygon Displacement.
Proceedings of the 2019 International Symposium on Physical Design, 2019

DATC RDF-2019: Towards a Complete Academic Reference Design Flow.
Proceedings of the International Conference on Computer-Aided Design, 2019

OpenMPL: An Open Source Layout Decomposer: Invited Paper.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
iTimerM: A Compact and Accurate Timing Macro Model for Efficient Hierarchical Timing Analysis.
ACM Trans. Design Autom. Electr. Syst., 2018

OWARU: Free Space-Aware Timing-Driven Incremental Placement With Critical Path Smoothing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

DATC RDF: An Open Design Flow from Logic Synthesis to Detailed Routing.
CoRR, 2018

OpenMPL: An Open Source Layout Decomposer.
CoRR, 2018

Timing Macro Modeling for Efficient Hierarchical Timing Analysis.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Recent Research and Challenges in Multiple Patterning Layout Decomposition.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

DATC RDF: an academic flow from logic synthesis to detailed routing.
Proceedings of the International Conference on Computer-Aided Design, 2018

COSAT: congestion, obstacle, and slew aware tree construction for multiple power domain design.
Proceedings of the 55th Annual Design Automation Conference, 2018

FastPass: Fast timing path search for generalized timing exception handling.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Multiple Patterning Layout Decomposition Considering Complex Coloring Rules and Density Balancing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

iTimerM: Compact and Accurate Timing Macro Modeling for Efficient Hierarchical Timing Analysis.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

DATC RDF: Robust design flow database: Invited paper.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Fast low power rule checking for multiple power domain design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Power and Area Efficient Hold Time Fixing by Free Metal Segment Allocation.
Proceedings of the 54th Annual Design Automation Conference, 2017

iClaire: A Fast and General Layout Pattern Classification Algorithm.
Proceedings of the 54th Annual Design Automation Conference, 2017

2016
Analytical Clustering Score with Application to Postplacement Register Clustering.
ACM Trans. Design Autom. Electr. Syst., 2016

OWARU: free space-aware timing-driven incremental placement.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

OpenDesign flow database: the infrastructure for VLSI design and design automation research.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Resource-aware functional ECO patch generation.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Multiple patterning layout decomposition considering complex coloring rules.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Reliability, adaptability and flexibility in timing: Buy a life insurance for your circuits.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Machine-Learning-Based Hotspot Detection Using Topological Classification and Critical Feature Extraction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Feature detection for image analytics via FPGA acceleration.
IBM J. Res. Dev., 2015

Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015

GasStation: Power and Area Efficient Buffering for Multiple Power Domain Design.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

iTimerC 2.0: Fast Incremental Timing and CPPR Analysis.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Criticality-dependency-aware timing characterization and analysis.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Efficient Coverage-Driven Stimulus Generation Using Simultaneous SAT Solving, with Application to SystemVerilog.
ACM Trans. Design Autom. Electr. Syst., 2014

PushPull: Short-Path Padding for Timing Error Resilient Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

DRC-based hotspot detection considering edge tolerance and incomplete specification.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

iTimerC: common path pessimism removal using effective reduction methods.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

The overview of 2014 CAD contest at ICCAD.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Smart grid load balancing techniques via simultaneous switch/tie-line/wire configurations.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Functional ECO Using Metal-Configurable Gate-Array Spare Cells.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
ECO Optimization Using Metal-Configurable Gate-Array Spare Cells.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Pulsed-Latch Replacement Using Concurrent Time Borrowing and Clock Gating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

FF-bond: multi-bit flip-flop bonding at placement.
Proceedings of the International Symposium on Physical Design, 2013

The overview of 2013 CAD contest at ICCAD.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

2012
WiT: Optimal Wiring Topology for Electromigration Avoidance.
IEEE Trans. Very Large Scale Integr. Syst., 2012

ECOS: Stable Matching Based Metal-Only ECO Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Reliability-Driven Power/Ground Routing for Analog ICs.
ACM Trans. Design Autom. Electr. Syst., 2012

INTEGRA: Fast Multibit Flip-Flop Clustering for Clock Power Saving.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Timing ECO Optimization Via Bézier Curve Smoothing and Fixability Identification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Generic Integer Linear Programming Formulation for 3D IC Partitioning.
J. Inf. Sci. Eng., 2012

Novel pulsed-latch replacement based on time borrowing and spiral clustering.
Proceedings of the International Symposium on Physical Design, 2012

Opening: Introduction to CAD contest at ICCAD 2012: CAD contest.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Accurate process-hotspot detection using critical design rule extraction.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Timing ECO optimization using metal-configurable gate-array spare cells.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
3DICE: 3D IC cost evaluation based on fast tier number estimation.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

INTEGRA: fast multi-bit flip-flop clustering for clock power saving based on interval graphs.
Proceedings of the 2011 International Symposium on Physical Design, 2011

Simultaneous functional and timing ECO.
Proceedings of the 48th Design Automation Conference, 2011

2010
Simultaneous voltage island generation and floorplanning.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

Analog placement and global routing considering wiring symmetry.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Optimal wiring topology for electromigration avoidance considering multiple layers and obstacles.
Proceedings of the 2010 International Symposium on Physical Design, 2010

Live Demo: ECOS 1.0: A metal-only ECO synthesizer.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
Generic integer linear programming formulation for 3D IC partitioning.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

POSA: Power-state-aware Buffered Tree Construction.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Matching-based minimum-cost spare cell selection for design changes.
Proceedings of the 46th Design Automation Conference, 2009

2008
Unification of obstacle-avoiding rectilinear Steiner tree construction.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008

Configurable rectilinear Steiner tree construction for SoC and nano technologies.
Proceedings of the 26th International Conference on Computer Design, 2008

Power-state-aware buffered tree construction.
Proceedings of the 26th International Conference on Computer Design, 2008

2006
Reliable crosstalk-driven interconnect optimization.
ACM Trans. Design Autom. Electr. Syst., 2006

Performance Constraints Aware Voltage Islands Generation in SoC Floorplan Design.
Proceedings of the 2006 IEEE International SOC Conference, Austin, Texas, USA, 2006

2004
Simultaneous floor plan and buffer-block optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

2003
Simultaneous floorplanning and buffer block planning.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

2001
On placement and routing of wafer scale memory.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

2000
Crosstalk-driven interconnect optimization by simultaneous gate andwire sizing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Optimal reliable crosstalk-driven interconnect optimization.
Proceedings of the 2000 International Symposium on Physical Design, 2000

1999
Optimum loading dispersion for high-speed tree-type decision circuitry.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

A clustering- and probability-based approach for time-multiplexed FPGA partitioning.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Noise-Constrained Performance Optimization by Simultaneous Gate and Wire Sizing Based on Lagrangian Relaxation.
Proceedings of the 36th Conference on Design Automation, 1999

Hierarchical Floorplan Design on the Internet.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999


  Loading...