Roman L. Lysecky

Orcid: 0000-0002-5000-0848

Affiliations:
  • University of Arizona, Tucson, USA


According to our database1, Roman L. Lysecky authored at least 111 papers between 1999 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Less Is More: Students Skim Lengthy Online Textbooks.
IEEE Trans. Educ., April, 2023

Efficient System-Level Design Space Exploration for High-Level Synthesis Using Pareto-Optimal Subspace Pruning.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
A high-level synthesis approach for precisely-timed, energy-efficient embedded systems.
Sustain. Comput. Informatics Syst., 2022

FIRE: A Finely Integrated Risk Evaluation Methodology for Life-Critical Embedded Systems.
Inf., 2022

Inter-Architecture Portability of Artificial Neural Networks and Side Channel Attacks.
Proceedings of the GLSVLSI '22: Great Lakes Symposium on VLSI 2022, Irvine CA USA, June 6, 2022

2021
Are Commercially Implemented Adaptive Cruise Control Systems String Stable?
IEEE Trans. Intell. Transp. Syst., 2021

Probabilistic Estimation of Threat Intrusion in Embedded Systems for Runtime Detection.
ACM Trans. Embed. Comput. Syst., 2021

Methods and Analysis of Automated Trace Alignment Under Power Obfuscation in Side Channel Attacks.
J. Hardw. Syst. Secur., 2021

SecPump: A Connected Open-Source Infusion Pump for Security Research Purposes.
IEEE Embed. Syst. Lett., 2021

The shift from static college textbooks to customizable content: A case study at zyBooks.
Proceedings of the IEEE Frontiers in Education Conference, 2021

2020
Automated Model-Based Optimization of Data-Adaptable Embedded Systems.
ACM Trans. Embed. Comput. Syst., 2020

TrustFlow-X: A Practical Framework for Fine-grained Control-flow Integrity in Critical Systems.
ACM Trans. Embed. Comput. Syst., 2020

Statistical Time-based Intrusion Detection in Embedded Systems.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

BackFlow: Backward Edge Control Flow Enforcement for Low End ARM Microcontrollers.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Data-driven Anomaly Detection with Timing Features for Embedded Systems.
ACM Trans. Design Autom. Electr. Syst., 2019

Security-aware multi-objective optimization of distributed reconfigurable embedded systems.
J. Parallel Distributed Comput., 2019

Window-Based Statistical Analysis Of Timing Subcomponents For Efficient Detection Of Malware In Life-Critical Systems.
Proceedings of the 2019 Spring Simulation Conference, 2019

Auto-Graded Programming Labs: Dos and Don'ts for Less-Stressed Higher-Performing Students, Reduced Grading Time, and Happier Teachers, .
Proceedings of the 50th ACM Technical Symposium on Computer Science Education, 2019

String stability of commercial adaptive cruise control vehicles: WIP abstract.
Proceedings of the 10th ACM/IEEE International Conference on Cyber-Physical Systems, 2019

Automatic Extraction of Requirements from State-based Hardware Designs for Runtime Verification.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Right-Provisioned IoT Edge Computing: An Overview.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

New web-based learning content for core programming concepts using Coral.
Proceedings of the IEEE Frontiers in Education Conference, 2019

Resilient Security of Medical Cyber-Physical Systems.
Proceedings of the Database and Expert Systems Applications, 2019

2018
Non-Intrusive In-Situ Requirements Monitoring of Embedded System.
ACM Trans. Design Autom. Electr. Syst., 2018

Time and Sequence Integrated Runtime Anomaly Detection for Embedded Systems.
ACM Trans. Embed. Comput. Syst., 2018

Probabilistic Threat Detection for Risk Management in Cyber-physical Medical Systems.
IEEE Softw., 2018

Mixed Cryptography Constrained Optimization for Heterogeneous, Multicore, and Distributed Embedded Systems.
Comput., 2018

Trustworthy multi-modal framework for life-critical systems security.
Proceedings of the Annual Simulation Symposium, 2018

Teaching Students a Systematic Approach to Debugging: (Abstract Only).
Proceedings of the 49th ACM Technical Symposium on Computer Science Education, 2018

Python Versus C++: An Analysis of Student Struggle on Small Coding Exercises in Introductory Programming Courses.
Proceedings of the 49th ACM Technical Symposium on Computer Science Education, 2018

Composable Template Attacks Using Templates for Individual Architectural Components.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Hardware-Based Probabilistic Threat Detection and Estimation for Embedded Systems.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Evaluation of the Complexity of Automated Trace Alignment using Novel Power Obfuscation Methods.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Runtime requirements monitoring for state-based hardware: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018

2017
Task Transition Scheduling for Data-Adaptable Systems.
ACM Trans. Embed. Comput. Syst., 2017

Getting Students to Earnestly Do Reading, Studying, and Homework in an Introductory Programming Class.
Proceedings of the 2017 ACM SIGCSE Technical Symposium on Computer Science Education, 2017

Hierarchical Non-intrusive In-situ Requirements Monitoring for Embedded Systems.
Proceedings of the Runtime Verification - 17th International Conference, 2017

Subcomponent Timing-Based Detection of Malware in Embedded Systems.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Non-intrusive dynamic profiler for multicore embedded systems.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
In-Situ Requirements Monitoring of Embedded Systems.
IEEE Embed. Syst. Lett., 2016

Latency, Power, and Security Optimization in Distributed Reconfigurable Embedded Systems.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

Model-Driven Optimization of Data-Adaptable Embedded Systems.
Proceedings of the 40th IEEE Annual Computer Software and Applications Conference, 2016

2015
System-Level Observation Framework for Non-Intrusive Runtime Monitoring of Embedded Systems.
ACM Trans. Design Autom. Electr. Syst., 2015

Security challenges for medical devices.
Commun. ACM, 2015

Application-Specific Customization of Dynamic Profiling Mechanisms for Sensor Networks.
IEEE Access, 2015

Students learn more with less text that covers the same core topics.
Proceedings of the 2015 IEEE Frontiers in Education Conference, 2015

Analysis of Control Flow Events for Timing-based Runtime Anomaly Detection.
Proceedings of the 10th Workshop on Embedded Systems Security, 2015

Timing-based anomaly detection in embedded systems.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Workload assignment considering NBTI degradation in multicore systems.
ACM J. Emerg. Technol. Comput. Syst., 2014

Hardware-Based Event Stream Ordering for System-Level Observation Framework.
IEEE Embed. Syst. Lett., 2014

Area-Efficient Event Stream Ordering for Runtime Observability of Embedded Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Profiling and online system-level performance and power estimation for dynamically adaptable embedded systems.
ACM Trans. Embed. Comput. Syst., 2013

Dynamic profiling and fuzzy-logic-based optimization of sensor network platforms.
ACM Trans. Embed. Comput. Syst., 2013

A lightweight dynamic optimization methodology and application metrics estimation model for wireless sensor networks.
Sustain. Comput. Informatics Syst., 2013

Runtime hardware/software task transition scheduling for data-adaptable embedded systems.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

Discrete event system specification, synthesis, and optimization of low-power FPGA-based embedded systems.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

System Throughput Optimization and Runtime Communication Middleware Supporting Dynamic Software-Hardware Task Migration in Data Adaptable Embedded Systems.
Proceedings of the 20th IEEE International Conference and Workshops on Engineering of Computer Based Systems, 2013

How You Can Learn to Stop Worrying and Love Reconfigurable Embedded Systems: A Tutorial.
Proceedings of the 20th IEEE International Conference and Workshops on Engineering of Computer Based Systems, 2013

System Observation of Blocking, Non-blocking, and Cascading Events for Runtime Monitoring of Real-Time Systems.
Proceedings of the 20th IEEE International Conference and Workshops on Engineering of Computer Based Systems, 2013

Accuracy-Guided Runtime Adaptive Profiling Optimization of Wireless Sensor Networks.
Proceedings of the 20th IEEE International Conference and Workshops on Engineering of Computer Based Systems, 2013

Efficient reconfiguration methods to enable rapid deployment of runtime reconfigurable systems.
Proceedings of the 2013 Asilomar Conference on Signals, 2013

2012
A self-tuning design methodology for power-efficient multi-core systems.
ACM Trans. Design Autom. Electr. Syst., 2012

ATLeS-SN.
Des. Autom. Embed. Syst., 2012

An overseer control methodology for data adaptable embedded systems.
Proceedings of the 6th International Workshop on Multi-Paradigm Modeling, 2012

Event-driven framework for configurable runtime system observability for SOC designs.
Proceedings of the 2012 IEEE International Test Conference, 2012

SNR analysis approach for hardware/software partitioning using dynamically adaptable fixed point representation.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

Automated Software Generation and Hardware Coprocessor Synthesis for Data-Adaptable Reconfigurable Systems.
Proceedings of the IEEE 19th International Conference and Workshops on Engineering of Computer-Based Systems, 2012

Adaptive online heuristic performance estimation and power optimization for reconfigurable embedded systems.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

Online algorithms for wireless sensor networks dynamic optimization.
Proceedings of the 2012 IEEE Consumer Communications and Networking Conference (CCNC), 2012

2011
Efficient hardware-based nonintrusive dynamic application profiling.
ACM Trans. Embed. Comput. Syst., 2011

Hardware/Software Communication Middleware for Data Adaptable Embedded Systems.
Proceedings of the 18th IEEE International Conference and Workshops on the Engineering of Computer-Based Systems, 2011

Hardware Observability Framework for Minimally Intrusive Online Monitoring of Embedded Systems.
Proceedings of the 18th IEEE International Conference and Workshops on the Engineering of Computer-Based Systems, 2011

Modeling of Data Adaptable Reconfigurable Embedded Systems.
Proceedings of the 18th IEEE International Conference and Workshops on the Engineering of Computer-Based Systems, 2011

Profile assisted online system-level performance and power estimation for dynamic reconfigurable embedded systems.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Configuration Locking and Schedulability Estimation for Reduced Reconfiguration Overheads of Reconfigurable Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Evaluation of Dynamic Profiling Methodologies for Optimization of Sensor Networks.
IEEE Embed. Syst. Lett., 2010

Control Focused Soft Error Detection for Embedded Applications.
IEEE Embed. Syst. Lett., 2010

A lightweight dynamic optimization methodology for wireless sensor networks.
Proceedings of the IEEE 6th International Conference on Wireless and Mobile Computing, 2010

Transaction-Level Modeling for Sensor Networks Using SystemC.
Proceedings of the IEEE International Conference on Sensor Networks, 2010

A self-evolving design methodology for power efficient multi-core systems.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Workload capacity considering NBTI degradation in multi-core systems.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Autonomous hardware/software partitioning and voltage/frequency scaling for low-power embedded systems.
ACM Trans. Design Autom. Electr. Syst., 2009

Design and implementation of a MicroBlaze-based warp processor.
ACM Trans. Embed. Comput. Syst., 2009

Float-to-fixed and fixed-to-float hardware converters for rapid hardware/software partitioning of floating point software applications to static and dynamic fixed point coprocessors.
Des. Autom. Embed. Syst., 2009

Non-intrusive dynamic application profiling for multitasked applications.
Proceedings of the 46th Design Automation Conference, 2009

2008
Scalability and Parallel Execution of Warp Processing: Dynamic Hardware/Software Partitioning.
Int. J. Parallel Program., 2008

Warp Processing: Dynamic Translation of Binaries to FPGA Circuits.
Computer, 2008

Design space exploration for application specific FPGAS in system-on-a-chip designs.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008

Hardware/software partitioning of floating point software applications to fixed-pointed coprocessor circuits.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

Non-intrusive dynamic application profiler for detailed loop execution characterization.
Proceedings of the 2008 International Conference on Compilers, 2008

2007
Low-power warp processor for power efficient high-performance embedded systems.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Warp Processors.
ACM Trans. Design Autom. Electr. Syst., 2006

Conjoining soft-core FPGA processors.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Application-specific customization of parameterized FPGA soft-core processors.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

2005
Firm-core Virtual FPGA for Just-in-Time FPGA Compilation (abstract only).
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

A Study of the Scalability of On-Chip Routing for Just-in-Time FPGA Compilation.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning.
Proceedings of the 2005 Design, 2005

2004
A fast on-chip profiler memory using a pipelined binary tree.
IEEE Trans. Very Large Scale Integr. Syst., 2004

A self-tuning cache architecture for embedded systems.
ACM Trans. Embed. Comput. Syst., 2004

A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning.
Proceedings of the 2004 Design, 2004

Dynamic FPGA routing for just-in-time FPGA compilation.
Proceedings of the 41th Design Automation Conference, 2004

2003
Highly configurable platforms for embedded computing systems.
Microelectron. J., 2003

Dynamic hardware/software partitioning: a first approach.
Proceedings of the 40th Design Automation Conference, 2003

On-chip logic minimization.
Proceedings of the 40th Design Automation Conference, 2003

A codesigned on-chip logic minimizer.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

2002
Prefetching for improved bus wrapper performance in cores.
ACM Trans. Design Autom. Electr. Syst., 2002

A fast on-chip profiler memory.
Proceedings of the 39th Design Automation Conference, 2002

2000
Experiments with the Peripheral Virtual Component Interface.
Proceedings of the 13th International Symposium on System Synthesis, 2000

Techniques for Reducing Read Latency of Core Bus Wrappers.
Proceedings of the 2000 Design, 2000

A first-step towards an architecture tuning methodology for low power.
Proceedings of the 2000 International Conference on Compilers, 2000

1999
Pre-Fetching for Improved Core Interfacing.
Proceedings of the 12th International Symposium on System Synthesis, 1999


  Loading...