Frank Vahid

Orcid: 0000-0001-5416-0032

Affiliations:
  • University of California, Riverside, USA


According to our database1, Frank Vahid authored at least 195 papers between 1991 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Towards Comprehensive Metrics for Programming Cheat Detection.
Proceedings of the 55th ACM Technical Symposium on Computer Science Education, 2024

Experiences Teaching a CS1 Common Course across 7 Institutions.
Proceedings of the 55th ACM Technical Symposium on Computer Science Education, 2024

CS1 Instructors: Flexibility in Content Approaches is Justified, and Can Enable More Cross-University Cooperation.
Proceedings of the 55th ACM Technical Symposium on Computer Science Education, 2024

Microteaching: Binary Heaps, Side-Channel Attacks, Equitable Grading, Java Classes, Loops, and 3D Java.
Proceedings of the 55th ACM Technical Symposium on Computer Science Education, 2024

Style Anomalies Can Suggest Cheating in CS1 Programs.
Proceedings of the 55th ACM Technical Symposium on Computer Science Education, 2024

2023
Less Is More: Students Skim Lengthy Online Textbooks.
IEEE Trans. Educ., April, 2023

Impact of Several Low-Effort Cheating-Reduction Methods in a CS1 Class.
Proceedings of the 54th ACM Technical Symposium on Computer Science Education, Volume 1, 2023

Experiences Teaching Coral Before C++ in CS1.
Proceedings of the 54th ACM Technical Symposium on Computer Science Education, Volume 1, 2023

Ultra-Lightweight Early Prediction of At-Risk Students in CS1.
Proceedings of the 54th ACM Technical Symposium on Computer Science Education, Volume 1, 2023

Significant Trends in CS Educational Material: Current and Future.
Proceedings of the 54th ACM Technical Symposium on Computer Science Education, Volume 2, 2023

Impact of Student Time Spent on Performance in a CS1 Class, Including Prior Experience Effect.
Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education V. 2, 2023

Towards Grading for Equity in a Large CS1 Class: An Experience with Flexible Deadlines and Resubmissions.
Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education V. 2, 2023

Variability-Inducing Requirements for Programs: Increasing Solution Variability for Similarity Checking.
Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education V. 1, 2023

2022
Member spotlight.
ACM SIGCSE Bull., 2022

2021
Concise Graphical Representations of Student Effort on Weekly Many Small Programs.
Proceedings of the SIGCSE '21: The 52nd ACM Technical Symposium on Computer Science Education, 2021

The shift from static college textbooks to customizable content: A case study at zyBooks.
Proceedings of the IEEE Frontiers in Education Conference, 2021

2019
Switching Predictive Control Using Reconfigurable State-Based Model.
ACM Trans. Design Autom. Electr. Syst., 2019

Auto-Graded Programming Labs: Dos and Don'ts for Less-Stressed Higher-Performing Students, Reduced Grading Time, and Happier Teachers, .
Proceedings of the 50th ACM Technical Symposium on Computer Science Education, 2019

An Analysis of Using Many Small Programs in CS1.
Proceedings of the 50th ACM Technical Symposium on Computer Science Education, 2019

New web-based learning content for core programming concepts using Coral.
Proceedings of the IEEE Frontiers in Education Conference, 2019

2018
Teaching Students a Systematic Approach to Debugging: (Abstract Only).
Proceedings of the 49th ACM Technical Symposium on Computer Science Education, 2018

Interactive, Language-neutral Flowcharts and Pseudocode for Teaching Core CS0/1 Programming Concepts: (Abstract Only).
Proceedings of the 49th ACM Technical Symposium on Computer Science Education, 2018

Python Versus C++: An Analysis of Student Struggle on Small Coding Exercises in Introductory Programming Courses.
Proceedings of the 49th ACM Technical Symposium on Computer Science Education, 2018

2017
Getting Students to Earnestly Do Reading, Studying, and Homework in an Introductory Programming Class.
Proceedings of the 2017 ACM SIGCSE Technical Symposium on Computer Science Education, 2017

2015
Graph-Based Approaches to Placement of Processing Element Networks on FPGAs for Physical Model Simulation.
ACM Trans. Reconfigurable Technol. Syst., 2015

Interactive Ebooks and Course Materials: A BOF for Authors and Instructors (Abstract Only).
Proceedings of the 46th ACM Technical Symposium on Computer Science Education, 2015

Students learn more with less text that covers the same core topics.
Proceedings of the 2015 IEEE Frontiers in Education Conference, 2015

How many points should be awarded for interactive textbook reading assignments?
Proceedings of the 2015 IEEE Frontiers in Education Conference, 2015

2014
A Survey on Concepts, Applications, and Challenges in Cyber-Physical Systems.
KSII Trans. Internet Inf. Syst., 2014

2013
Synthesis of networks of custom processing elements for real-time physical system emulation.
ACM Trans. Design Autom. Electr. Syst., 2013

Accurate and Efficient Algorithms that Adapt to Privacy-Enhanced Video for Improved Assistive Monitoring.
ACM Trans. Manag. Inf. Syst., 2013

Automatic synthesis of physical system differential equation models to a custom network of general processing elements on FPGAs.
ACM Trans. Embed. Comput. Syst., 2013

Automated In-Home Assistive Monitoring with Privacy-Enhanced Video.
Proceedings of the IEEE International Conference on Healthcare Informatics, 2013

Estimating Daily Energy Expenditure from Video for Assistive Monitoring.
Proceedings of the IEEE International Conference on Healthcare Informatics, 2013

Embedding-based placement of processing element networks on FPGAs for physical model simulation.
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

An online revolution in learning and teaching.
Proceedings of the IEEE Frontiers in Education Conference, 2013

Exploration with upgradeable models using statistical methods for physical model emulation.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

An efficient compression scheme for checkpointing of FPGA-based digital mockups.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Combining code reordering and cache configuration.
ACM Trans. Embed. Comput. Syst., 2012

Privacy perception and fall detection accuracy for in-home video assistive monitoring with privacy enhancements.
SIGHIT Rec., 2012

Digital mockups for the testing of a medical ventilator.
Proceedings of the ACM International Health Informatics Symposium, 2012

MNFL: the monitoring and notification flow language for assistive monitoring.
Proceedings of the ACM International Health Informatics Symposium, 2012

RIOS: a lightweight task scheduler for embedded systems.
Proceedings of the Workshop on Embedded and Cyber-Physical Systems Education, 2012

Automated fall detection on privacy-enhanced video.
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012

MEDS: Mockup Electronic Data Sheets for automated testing of cyber-physical systems using digital mockups.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Synthesis of custom networks of heterogeneous processing elements for complex physical system emulation.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

2011
Thread Warping: Dynamic and Transparent Synthesis of Thread Accelerators.
ACM Trans. Design Autom. Electr. Syst., 2011

A Custom FPGA Processor for Physical Model Ordinary Differential Equation Solving.
IEEE Embed. Syst. Lett., 2011

Feature extractors for integration of cameras and sensors during end-user programming of assistive monitoring systems.
Proceedings of Wireless Health 2011, 2011

Scalable object detection accelerators on FPGAs using custom design space exploration.
Proceedings of the IEEE 9th Symposium on Application Specific Processors, 2011

2010
Server-side coprocessor updating for mobile devices with FPGAs.
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010

Online SystemC emulation acceleration.
Proceedings of the 47th Design Automation Conference, 2010

2009
Fast Configurable-Cache Tuning With a Unified Second-Level Cache.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Enabling nonexpert construction of basic sensor-based systems.
ACM Trans. Comput. Hum. Interact., 2009

Design and implementation of a MicroBlaze-based warp processor.
ACM Trans. Embed. Comput. Syst., 2009

Virtual microcontrollers.
SIGBED Rev., 2009

Dynamic acceleration management for SystemC emulation.
SIGBED Rev., 2009

Making good points: application-specific pareto-point generation for design space exploration using statistical methods.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

Transmuting coprocessors: dynamic loading of FPGA coprocessors.
Proceedings of the 46th Design Automation Conference, 2009

Portable SystemC-on-a-chip.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

2008
Warp Processing: Dynamic Translation of Binaries to FPGA Circuits.
Computer, 2008

A table-based method for single-pass cache optimization.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

C is for circuits: capturing FPGA circuits as sequential code for portability.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008

A pipelined binary tree as a case study on designing efficient circuits for an FPGA in a bram aware design.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008

Highly-cited ideas in system codesign and synthesis.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

Don't forget memories: a case study redesigning a pattern counting ASIC circuit for FPGAs.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

Dynamic tuning of configurable architectures: the AWW online algorithm.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

Dynamic coprocessor management for FPGA-enhanced compute platforms.
Proceedings of the 2008 International Conference on Compilers, 2008

2007
Binary synthesis.
ACM Trans. Design Autom. Electr. Syst., 2007

It's Time to Stop Calling Circuits "Hardware".
Computer, 2007

Integrated Coupling and Clock Frequency Assignment of Accelerators During Hardware/Software Partitioning.
Proceedings of the Embedded System Design: Topics, Techniques and Trends, IFIP TC10 Working Conference: International Embedded Systems Symposium (IESS), May 30, 2007

Dynamic Partial FPGA Reconfiguration in a Prototype Microprocessor System.
Proceedings of the FPL 2007, 2007

Clock-frequency assignment for multiple clock domain systems-on-a-chip.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Two-level microprocessor-accelerator partitioning.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Interactive presentation: Soft-core processor customization using the design of experiments paradigm.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

A one-shot configurable-cache tuner for improved energy and performance.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

A Self-Tuning Configurable Cache.
Proceedings of the 44th Design Automation Conference, 2007

Thread warping: a framework for dynamic synthesis of thread accelerators.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007

2006
Warp Processors.
ACM Trans. Design Autom. Electr. Syst., 2006

A code refinement methodology for performance-improved synthesis from C.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Conjoining soft-core FPGA processors.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Application-specific customization of parameterized FPGA soft-core processors.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Automated Application-Specific Tuning of Parameterized Sensor-Based Embedded System Building Blocks.
Proceedings of the UbiComp 2006: Ubiquitous Computing, 2006

Automated Generation of Basic Custom Sensor-Based Embedded Computing Systems Guided by End-User Optimization Criteria.
Proceedings of the UbiComp 2006: Ubiquitous Computing, 2006

Configurable cache subsetting for fast cache tuning.
Proceedings of the 43rd Design Automation Conference, 2006

2005
A highly configurable cache for low energy embedded systems.
ACM Trans. Embed. Comput. Syst., 2005

Frequent Loop Detection Using Efficient Nonintrusive On-Chip Hardware.
IEEE Trans. Computers, 2005

eBlocks - an enabling technology for basic sensor based systems.
Proceedings of the Fourth International Symposium on Information Processing in Sensor Networks, 2005

New decompilation techniques for binary-level co-processor generation.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

A first look at the interplay of code reordering and configurable caches.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Techniques for synthesizing binaries to an advanced register/memory structure.
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

Firm-core Virtual FPGA for Just-in-Time FPGA Compilation (abstract only).
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

A Study of the Scalability of On-Chip Routing for Just-in-Time FPGA Compilation.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

A Decompilation Approach to Partitioning Software for Microprocessor/FPGA Platforms.
Proceedings of the 2005 Design, 2005

System Synthesis for Networks of Programmable Blocks.
Proceedings of the 2005 Design, 2005

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning.
Proceedings of the 2005 Design, 2005

Hardware/software partitioning of software binaries: a case study of H.264 decode.
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005

A logic block enabling logic configuration by non-experts in sensor networks.
Proceedings of the Extended Abstracts Proceedings of the 2005 Conference on Human Factors in Computing Systems, 2005

2004
A fast on-chip profiler memory using a pipelined binary tree.
IEEE Trans. Very Large Scale Integr. Syst., 2004

A self-tuning cache architecture for embedded systems.
ACM Trans. Embed. Comput. Syst., 2004

Energy savings and speedups from partitioning critical software loops to hardware in embedded systems.
ACM Trans. Embed. Comput. Syst., 2004

Applications and experiments with eBlocks - electronic blocks for basic sensor-based systems.
Proceedings of the First Annual IEEE Communications Society Conference on Sensor and Ad Hoc Communications and Networks, 2004

A quantitative analysis of the speedup factors of FPGAs over processors.
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004

Low Static-Power Frequent-Value Data Caches.
Proceedings of the 2004 Design, 2004

Using a Victim Buffer in an Application-Specific Memory Hierarchy.
Proceedings of the 2004 Design, 2004

A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning.
Proceedings of the 2004 Design, 2004

Automatic Tuning of Two-Level Caches to Embedded Applications.
Proceedings of the 2004 Design, 2004

Dynamic FPGA routing for just-in-time FPGA compilation.
Proceedings of the 41th Design Automation Conference, 2004

Tuning Caches to Applications for Low-Energy Embedded Systems.
Proceedings of the Ultra Low-Power Electronics and Design, 2004

2003
Tiny instruction caches for low power embedded systems.
ACM Trans. Embed. Comput. Syst., 2003

Highly configurable platforms for embedded computing systems.
Microelectron. J., 2003

Making the Best of Those Extra Transistors.
IEEE Des. Test Comput., 2003

The Softening of Hardware.
Computer, 2003

A Way-Halting Cache for Low-Energy High-Performance Systems.
IEEE Comput. Archit. Lett., 2003

Cache Configuration Exploration on Prototyping Platforms.
Proceedings of the 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 2003

Embedded System Design: UCR's Undergraduate Three-Course Sequence.
Proceedings of the 2003 International Conference on Microelectronics Systems Education, 2003

Profiling tools for hardware/software partitioning of embedded applications.
Proceedings of the 2003 Conference on Languages, 2003

Energy Benefits of a Configurable Line Size Cache for Embedded Systems.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

A Highly-Configurable Cache Architecture for Embedded Systems.
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003

Dynamic hardware/software partitioning: a first approach.
Proceedings of the 40th Design Automation Conference, 2003

On-chip logic minimization.
Proceedings of the 40th Design Automation Conference, 2003

A codesigned on-chip logic minimizer.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

First results with eBlocks: embedded systems building blocks.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

Frequent loop detection using efficient non-intrusive on-chip hardware.
Proceedings of the International Conference on Compilers, 2003

2002
Instruction-based system-level power evaluation of system-on-a-chip peripheral cores.
IEEE Trans. Very Large Scale Integr. Syst., 2002

System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Partitioning sequential programs for CAD using a three-step approach.
ACM Trans. Design Autom. Electr. Syst., 2002

Prefetching for improved bus wrapper performance in cores.
ACM Trans. Design Autom. Electr. Syst., 2002

Platune: a tuning framework for system-on-a-chip platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Power Estimator Development for Embedded System Memory Tuning.
J. Circuits Syst. Comput., 2002

Energy Advantages of Microprocessor Platforms with On-Chip Configurable Logic.
IEEE Des. Test Comput., 2002

Improving Software Performance with Configurable Logic.
Des. Autom. Embed. Syst., 2002

Tuning of Cache Ways and Voltage for Low-Energy Embedded System Platforms.
Des. Autom. Embed. Syst., 2002

Exploiting Fixed Programs in Embedded Systems: A Loop Cache Example.
IEEE Comput. Archit. Lett., 2002

Tuning of Loop Cache Architectures to Programs in Embedded System Design.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002

A power-configurable bus for embedded systems.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Dynamic Loop Caching Meets Preloaded Loop Caching - A Hybrid Approach.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

Hardware/software partitioning of software binaries.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Synthesis of customized loop caches for core-based embedded systems.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Using On-Chip Configurable Logic to Reduce Embedded System Software Energy.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002

A fast on-chip profiler memory.
Proceedings of the 39th Design Automation Conference, 2002

Codesign-extended applications.
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, 2002

Embedded system design - a unified hardware / software introduction.
Wiley-VCH, ISBN: 978-0-471-45303-1, 2002

2001
Evaluating power consumption of parameterized cache and bus architectures in system-on-a-chip designs.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Propagating constants past software to hardware peripherals in fixed-application embedded systems.
SIGARCH Comput. Archit. News, 2001

Platform Tuning for Embedded Systems Design.
Computer, 2001

A self-optimizing embedded microprocessor using a loop table for low power.
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001

System-Level Exploration for Pareto-Optimal Configurations in Parameterized Systems-on-a-Chip.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

Trace-driven system-level power evaluation of system-on-a-chip peripheral cores.
Proceedings of ASP-DAC 2001, 2001

2000
Experiments with the Peripheral Virtual Component Interface.
Proceedings of the 13th International Symposium on System Synthesis, 2000

Techniques for Reducing Read Latency of Core Bus Wrappers.
Proceedings of the 2000 Design, 2000

Fast Cache and Bus Power Estimation for Parameterized System-on-a-Chip Design.
Proceedings of the 2000 Design, 2000

Parameterized system design.
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000

A first-step towards an architecture tuning methodology for low power.
Proceedings of the 2000 International Conference on Compilers, 2000

A hybrid approach for core-based system-level power modeling.
Proceedings of ASP-DAC 2000, 2000

1999
Procedure cloning: a transformation for improved system-level functional partitioning.
ACM Trans. Design Autom. Electr. Syst., 1999

Techniques for minimizing and balancing I/O during functional partitioning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Pre-Fetching for Improved Core Interfacing.
Proceedings of the 12th International Symposium on System Synthesis, 1999

Interface and cache power exploration for core-based embedded system design.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

FSMD Functional Partitioning for Low Power.
Proceedings of the 1999 Design, 1999

The case for a configure-and-execute paradigm.
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999

1998
SpecSyn: an environment supporting the specify-explore-refine paradigm for hardware/software system design.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Functional partitioning improvements over structural partitioning for packaging constraints and synthesis: tool performance.
ACM Trans. Design Autom. Electr. Syst., 1998

Incorporating Cores into System-Level Specification.
Proceedings of the 11th International Symposium on System Synthesis, 1998

A Three-Step Approach to the Functional Partitioning of Large Behavioral Processes.
Proceedings of the 11th International Symposium on System Synthesis, 1998

Interface Exploration for Reduced Power in Core-Based Systems.
Proceedings of the 11th International Symposium on System Synthesis, 1998

System-level exploration with SpecSyn.
Proceedings of the 35th Conference on Design Automation, 1998

1997
Extending the Kernighan/Lin Heuristic for Hardware and Software Functional Partitioning.
Des. Autom. Embed. Syst., 1997

Port Calling: A Transformation for Reducing I/O during Multi-Package Functional Partitioning.
Proceedings of the 10th International Symposium on System Synthesis, 1997

I/O and Performance Tradeoffs with the FunctionBus During Multi-FPGA Partitioning.
Proceedings of the 1997 ACM/SIGDA Fifth International Symposium on Field Programmable Gate Arrays, 1997

An Object-Oriented Communication Library for Hardware-Software CoDesign.
Proceedings of the Fifth International Workshop on Hardware/Software Codesign, 1997

Modifying Min-Cut for Hardware and Software Functional Partitioning.
Proceedings of the Fifth International Workshop on Hardware/Software Codesign, 1997

1996
System design methodologies: aiming at the 100 h design cycle.
IEEE Trans. Very Large Scale Integr. Syst., 1996

A Comparison of Functional and Structural Partitioning.
Proceedings of the 9th International Symposium on System Synthesis, 1996

Towards a Model for Hardware and Software Functional Partitioning.
Proceedings of the Forth International Workshop on Hardware/Software Codesign, 1996

1995
Incremental hardware estimation during hardware/software functional partitioning.
IEEE Trans. Very Large Scale Integr. Syst., 1995

SpecCharts: a VHDL front-end for embedded systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Specification and Design of Embedded Hardware-Software Systems.
IEEE Des. Test Comput., 1995

Clustering for improved system-level functional partitioning.
Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), 1995

Procedure exlining: a transformation for improved system and behavioral synthesis.
Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), 1995

Closeness metrics for system-level functional partitioning.
Proceedings of the Proceedings EURO-DAC'95, 1995

Procedure exlining: a new system-level specification transformation.
Proceedings of the Proceedings EURO-DAC'95, 1995

SLIF: a specification-level intermediate format for system design.
Proceedings of the 1995 European Design and Test Conference, 1995

1994
A transformation for integrating VHDL behavioral specification with synthesis and software generation.
Proceedings of the Proceedings EURO-DAC'94, 1994

A binary-constraint search algorithm for minimizing hardware during hardware/software partitioning.
Proceedings of the Proceedings EURO-DAC'94, 1994

100-hour design cycle: a test case.
Proceedings of the Proceedings EURO-DAC'94, 1994

A System-Design Methodology: Executable-Specification Refinement.
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994

1993
Synthesis of functions and procedures in behavioral VHDL.
Proceedings of the European Design Automation Conference 1993, 1993

1992
System Specification with the SpecCharts Language.
IEEE Des. Test Comput., 1992

System Level Specification and Synthesis.
Proceedings of the Fifth International Conference on VLSI Design, 1992

Semantics and synthesis of signals in behavioral VHDL.
Proceedings of the conference on European design automation, 1992

Specification Partitioning for System Design.
Proceedings of the 29th Design Automation Conference, 1992

1991
Obtaining Functionally Equivalent Simulations using VHDL and a Time-Shift Transformation.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

System Specification and Synthesis with the SpecCharts Language.
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991

Translating system specifications to VHDL.
Proceedings of the conference on European design automation, 1991


  Loading...