Selçuk Köse

Orcid: 0000-0001-8095-6691

According to our database1, Selçuk Köse authored at least 84 papers between 2008 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Utilizing Multi-Body Interactions in a CMOS-Based Ising Machine for LDPC Decoding.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2024

2023
Bistable Josephson Junction-Based True Random Number Generator Without Inductors.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2023

Neural Network-Based Entropy: A New Metric for Evaluating Side-Channel Attacks.
J. Circuits Syst. Comput., February, 2023

Covert Communication Attacks in Chiplet-based 2.5-D Integration Systems.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

Security Implications of Decoupling Capacitors on Leakage Reduction in Hardware Masking.
Proceedings of the 14th IEEE Latin America Symposium on Circuits and System, 2023

Modeling and Analysis of Switched-Capacitor Converters as a Multi-port Network for Covert Communication.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

2022
Multiphase Digital Low-Dropout Regulators.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Machine Learning Attack Resistant Area-Efficient Reconfigurable Ising-PUF.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Exploiting On-Chip Voltage Regulators for Leakage Reduction in Hardware Masking.
Sensors, 2022

2021
Preprocessing of the Physical Leakage Information to Combine Side-Channel Distinguishers.
IEEE Trans. Very Large Scale Integr. Syst., 2021

A Secure Lightweight Hardware-Assisted Charging Coordination Authentication Framework for Trusted Smart Grid Energy Storage Units.
SN Comput. Sci., 2021

A Secure Hardware-Assisted AMI Authentication Scheme for Smart Cities.
IEEE Consumer Electron. Mag., 2021

A PVT Tolerant True Random Number Generator Based on Oscillator Phase Under Sub-Harmonic Injection Locking.
IEEE Access, 2021

Enhancing the Performance of Lightweight Configurable PUF for Robust IoT Hardware-Assisted Security.
IEEE Access, 2021

A Lightweight Hardware-based Authentication for Secure Smart Grid Energy Storage Units.
Proceedings of the 7th IEEE World Forum on Internet of Things, 2021

Combined Side-Channel Attacks on a Lightweight Prince Cipher Implementation.
Proceedings of the 34th IEEE International System-on-Chip Conference, 2021

An Efficient and Reliable Lightweight PUF for IoT-based Applications.
Proceedings of the IEEE International Conference on Communications Workshops, 2021

2020
Extensionless Adaptive Transmitter and Receiver Windowing of Beyond 5G Frames.
IEEE Trans. Veh. Technol., 2020

Non-Redundant OFDM Receiver Windowing for 5G Frames and Beyond.
IEEE Trans. Veh. Technol., 2020

Reducing Precoder/Channel Mismatch and Enhancing Secrecy in Practical MIMO Systems Using Artificial Signals.
IEEE Commun. Lett., 2020

Voltage regulator assisted lightweight countermeasure against fault injection attacks.
CoRR, 2020

A Trusted Authentication Scheme for IoT-based Smart Grid Applications.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

Approximate Voltage Regulation for Energy Efficient Error Tolerable Applications.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

2019
Exploiting Algorithmic Noise Tolerance for Scalable On-Chip Voltage Regulation.
IEEE Trans. Very Large Scale Integr. Syst., 2019

FOPAC: Flexible On-Chip Power and Clock.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Innovative Telecommunications Training through Flexible Radio Platforms.
IEEE Commun. Mag., 2019

Reliability Enhanced Digital Low-Dropout Regulator with Improved Transient Performance.
Proceedings of the VLSI-SoC: New Technology Enabler, 2019

An NBTI-Aware Digital Low-Dropout Regulator with Adaptive Gain Scaling Control.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

Distributed Digital Low-Dropout Regulators with Phase Interleaving for On-Chip Voltage Noise Mitigation.
Proceedings of the 21st ACM/IEEE International Workshop on System Level Interconnect Prediction, 2019

Bus-Invert Coding as a Low-Power Countermeasure Against Correlation Power Analysis Attack.
Proceedings of the 21st ACM/IEEE International Workshop on System Level Interconnect Prediction, 2019

Combined Distinguishers to Enhance the Accuracy and Success of Side Channel Analysis.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

POWERT Channels: A Novel Class of Covert CommunicationExploiting Power Management Vulnerabilities.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Leveraging On-Chip Voltage Regulators Against Fault Injection Attacks.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

2018
Duty-Cycle-Based Controlled Physical Unclonable Function.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Optimal Allocation of LDOs and Decoupling Capacitors within a Distributed On-Chip Power Grid.
ACM Trans. Design Autom. Electr. Syst., 2018

Exploiting Voltage Regulators to Enhance Various Power Attack Countermeasures.
IEEE Trans. Emerg. Top. Comput., 2018

Reliable Delay Based Algorithm to Boost PUF Security Against Modeling Attacks.
Inf., 2018

Exploiting Multi-Phase On-Chip Voltage Regulators as Strong PUF Primitives for Securing IoT.
J. Electron. Test., 2018

A New Class of Covert Channels Exploiting Power Management Vulnerabilities.
IEEE Comput. Archit. Lett., 2018

Process, Voltage, and Temperature-stable Adaptive Duty Cycle based PUF.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

When Hardware Security Moves to the Edge and Fog.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

Reliable On-Chip Voltage Regulation for Sustainable and Compact IoT and Heterogeneous Computing Systems.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Mitigation of NBTI induced performance degradation in on-chip digital LDOs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Cognitive Security of Wireless Communication Systems in the Physical Layer.
Wirel. Commun. Mob. Comput., 2017

Security-Adaptive Voltage Conversion as a Lightweight Countermeasure Against LPA Attacks.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Efficiency, Stability, and Reliability Implications of Unbalanced Current Sharing Among Distributed On-Chip Voltage Regulators.
IEEE Trans. Very Large Scale Integr. Syst., 2017

A Lightweight Masked AES Implementation for Securing IoT Against CPA Attacks.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

False Key-Controlled Aggressive Voltage Scaling: A Countermeasure Against LPA Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Partially overlapping filtered multitone with reconfigurable antennas in uncoordinated networks.
Phys. Commun., 2017

Secure intermittent-robust computation for energy harvesting device security and outage resilience.
Proceedings of the 2017 IEEE SmartWorld, 2017

Adaptive windowing of insufficient CP for joint minimization of ISI and ACI beyond 5G.
Proceedings of the 28th IEEE Annual International Symposium on Personal, 2017

An adaptive senior design course with an emphasis on undergraduate course curriculum.
Proceedings of the 2017 IEEE International Conference on Microelectronic Systems Education, 2017

Implications of noise insertion mechanisms of different countermeasures against side-channel attacks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A Lightweight AES Implementation Against Bivariate First-Order DPA Attacks.
Proceedings of the Hardware and Architectural Support for Security and Privacy, 2017

ThermoGater: Thermally-Aware On-Chip Voltage Regulation.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

Implications of Distributed On-Chip Power Delivery on EM Side-Channel Attacks.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Efficient and Secure On-Chip Reconfigurable Voltage Regulation for IoT Devices.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

2016
A Voltage Regulator-Assisted Lightweight AES Implementation Against DPA Attacks.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

Charge-Withheld Converter-Reshuffling: A Countermeasure Against Power Analysis Attacks.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

2015
Time-Delayed Converter-Reshuffling: An Efficient and Secure Power Delivery Architecture.
IEEE Embed. Syst. Lett., 2015

Leveraging on-chip voltage regulators as a countermeasure against side-channel attacks.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Digitally Controlled Pulse Width Modulator for On-Chip Power Management.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Converter-Gating: A Power Efficient and Secure On-Chip Power Delivery System.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

Regulator-Gating Methodology with Distributed Switched Capacitor Voltage Converters.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

An enhanced pulse width modulator with adaptive duty cycle and frequency control.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Regulator-gating: adaptive management of on-chip voltage regulators.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

Thermal Implications of On-Chip Voltage Regulation: Upcoming Challenges and Possible Solutions.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Active Filter-Based Hybrid On-Chip DC-DC Converter for Point-of-Load Voltage Regulation.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Power Noise in TSV-Based 3-D Integrated Circuits.
IEEE J. Solid State Circuits, 2013

Digitally controlled wide range pulse width modulator for on-chip power supplies.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Current profile of a microcontroller to determine electromagnetic emissions.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Efficient algorithms for fast IR drop analysis exploiting locality.
Integr., 2012

Distributed On-Chip Power Delivery.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

An area efficient on-chip hybrid voltage regulator.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Distributed power delivery for energy efficient and low power systems.
Proceedings of the Conference Record of the Forty Sixth Asilomar Conference on Signals, 2012

2011
Shielding Methodologies in the Presence of Power/Ground Noise.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Effective Resistance of a Two Layer Mesh.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

Distributed power network co-design with on-chip power supplies and decoupling capacitors.
Proceedings of the 2011 International Workshop on System Level Interconnect Prediction, 2011

Fast algorithms for <i>IR</i> voltage drop analysis exploiting locality.
Proceedings of the 48th Design Automation Conference, 2011

2010
Simultaneous co-design of distributed on-chip power supplies and decoupling capacitors.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

Fast algorithms for power grid analysis based on effective resistance.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

An area efficient fully monolithic hybrid voltage regulator.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

On-chip point-of-load voltage regulator for distributed power supplies.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

2008
Pseudo-random clocking to enhance signal integrity.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008


  Loading...