Kai Ni

Orcid: 0000-0002-3628-3431

Affiliations:
  • Rochester Institute of Technology, Department of Electrical and Microelectronic Engineering, NY, USA
  • University of Notre Dame, Department of Electrical Engineering, IN, USA


According to our database1, Kai Ni authored at least 74 papers between 2017 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A Module-Level Configuration Methodology for Programmable Camouflaged Logic.
ACM Trans. Design Autom. Electr. Syst., March, 2024

ProtFe: Low-Cost Secure Power Side-Channel Protection for General and Custom FeFET-Based Memories.
ACM Trans. Design Autom. Electr. Syst., January, 2024

Paving the Way for Pass Disturb Free Vertical NAND Storage via A Dedicated and String-Compatible Pass Gate.
CoRR, 2024

2023
Low-Power and Scalable BEOL-Compatible IGZO TFT eDRAM-Based Charge-Domain Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

FeFET-Based In-Memory Hyperdimensional Encoding Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

An Ultracompact Single-Ferroelectric Field-Effect Transistor Binary and Multibit Associative Search Engine.
Adv. Intell. Syst., July, 2023

Design of Ultracompact Content Addressable Memory Exploiting 1T-1MTJ Cell.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

Ferroelectric Ternary Content Addressable Memories for Energy-Efficient Associative Search.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023

FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access With Reduced Bitline Charging Activity and Recycled Bitline Charge.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

Reconfigurable Frequency Multipliers Based on Complementary Ferroelectric Transistors.
CoRR, 2023

Low Power and Temperature-Resilient Compute-In-Memory Based on Subthreshold-FeFET.
CoRR, 2023

Variation-Resilient FeFET-Based In-Memory Computing Leveraging Probabilistic Deep Learning.
CoRR, 2023

A Ferroelectric Compute-in-Memory Annealer for Combinatorial Optimization Problems.
CoRR, 2023

Embedding Security into Ferroelectric FET Array via In-Situ Memory Operation.
CoRR, 2023

Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET.
CoRR, 2023

Cross-Layer Optimizations for Ferroelectric Neuromorphic Computing.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

A Compact Ferroelectric 2T-(n+1)C Cell to Implement AND-OR Logic in Memory.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2023

Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

Monolithic 3D Integrated BEOL Dual-Port Ferroelectric FET to Break the Tradeoff Between the Memory Window and the Ferroelectric Thickness.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

SEE-MCAM: Scalable Multi-Bit FeFET Content Addressable Memories for Energy Efficient Associative Search.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Reconfigurable ferroelectric hafnium oxide FeFET fabricated in 28 nm CMOS technology for mmWave applications.
Proceedings of the 53rd IEEE European Solid-State Device Research Conference, 2023

Computational Associative Memory Powered by Ferroelectric Memory.
Proceedings of the Device Research Conference, 2023

Cryogenic In-Memory Matrix-Vector Multiplication using Ferroelectric Superconducting Quantum Interference Device (FE-SQUID).
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
CapCAM: A Multilevel Capacitive Content Addressable Memory for High-Accuracy and High-Scalability Search and Compute Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Machine Learning Attack Resistant Area-Efficient Reconfigurable Ising-PUF.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Carbon Nanotube SRAM in 5-nm Technology Node Design, Optimization, and Performance Evaluation - Part II: CNT Interconnect Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Carbon Nanotube SRAM in 5-nm Technology Node Design, Optimization, and Performance Evaluation - Part I: CNFET Transistor Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Voltage-controlled Cryogenic Boolean Logic Family Based on Ferroelectric SQUID.
CoRR, 2022

Eliminating Leakage in Volatile Memory with Anti-Ferroelectric Transistors.
CoRR, 2022

Ferroelectric FET based Context-Switching FPGA Enabling Dynamic Reconfiguration for Adaptive Deep Learning Machines.
CoRR, 2022

Hybrid Stochastic Synapses Enabled by Scaled Ferroelectric Field-effect Transistors.
CoRR, 2022

A Homogeneous Processing Fabric for Matrix-Vector Multiplication and Associative Search Using Ferroelectric Time-Domain Compute-in-Memory.
CoRR, 2022

Ferroelectric FET-based strong physical unclonable function: a low-power, high-reliable and reconfigurable solution for Internet-of-Things security.
CoRR, 2022

CMOS-Compatible Ising Machines built using Bistable Latches Coupled through Ferroelectric Transistor Arrays.
CoRR, 2022

An Ultra-Compact Single FeFET Binary and Multi-Bit Associative Search Engine.
CoRR, 2022

Asymmetric Double-Gate Ferroelectric FET to Decouple the Tradeoff Between Thickness Scaling and Memory Window.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Adaptable Multi-level Voltage to Binary Converter Using Ferroelectric FETs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Ferroelectric FET Threshold Voltage Optimization for Reliable In-Memory Computing.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Suppressing Channel Percolation in Ferroelectric FET for Reliable Neuromorphic Applications.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Impact of Random Spatial Fluctuation in Non-Uniform Crystalline Phases on Multidomain MFIM Capacitor and Negative Capacitance FDSOI.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

Cleaved-Gate Ferroelectric FET for Reliable Multi-Level Cell Storage.
Proceedings of the IEEE International Reliability Physics Symposium, 2022

COSIME: FeFET Based Associative Memory for In-Memory Cosine Similarity Search.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Joint Modeling of Multi-Domain Ferroelectric and Distributed Channel towards Unveiling the Asymmetric Abrupt DC Current Jump in Ferroelectric FET.
Proceedings of the 52nd IEEE European Solid-State Device Research Conference, 2022

Cryogenic Memory Array based on Ferroelectric SQUID and Heater Cryotron.
Proceedings of the Device Research Conference, 2022

Eva-CAM: A Circuit/Architecture-Level Evaluation Tool for General Content Addressable Memories.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Adaptive neural recovery for highly robust brain-like representation.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Energy efficient data search design and optimization based on a compact ferroelectric FET content addressable memory.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Hardware Functional Obfuscation With Ferroelectric Active Interconnects.
CoRR, 2021

Deep Random Forest with Ferroelectric Analog Content Addressable Memory.
CoRR, 2021

Intrinsic synaptic plasticity of ferroelectric field effect transistors for online learning.
CoRR, 2021

A PVT Tolerant True Random Number Generator Based on Oscillator Phase Under Sub-Harmonic Injection Locking.
IEEE Access, 2021

Application-driven Design Exploration for Dense Ferroelectric Embedded Non-volatile Memories.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

ICCAD Tutorial Session Paper Ferroelectric FET Technology and Applications: From Devices to Systems.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Overview of Ferroelectric Memory Devices and Reliability Aware Design Optimization.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

Exploiting FeFET Switching Stochasticity for Low-Power Reconfigurable Physical Unclonable Function.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

Energy-Aware Designs of Ferroelectric Ternary Content Addressable Memory.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
FerroElectronics for Edge Intelligence.
IEEE Micro, 2020

The Impact of Ferroelectric FETs on Digital and Analog Circuits and Architectures.
IEEE Des. Test, 2020

FeCAM: A Universal Compact Digital and Analog Content Addressable Memory Using Ferroelectric.
CoRR, 2020

FeFET-Based Neuromorphic Architecture with On-Device Feedback Alignment Training.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

A Hybrid FeMFET-CMOS Analog Synapse Circuit for Neural Network Training and Inference.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Temperature Dependence and Temperature-Aware Sensing in Ferroelectric FET.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Impact of Extrinsic Variation Sources on the Device-to-Device Variation in Ferroelectric FET.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020

Ferroelectrics: From Memory to Computing.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
An Ultra-Dense 2FeFET TCAM Design Based on a Multi-Domain FeFET Model.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Power and Area Efficient FPGA Building Blocks Based on Ferroelectric FETs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric FETs.
IEEE Des. Test, 2019

Significance of Multi and Few Domain Ferroelectric Switching Dynamics for Steep-Slope Non-Hysteretic Ferroelectric Field Effect Transistor.
Proceedings of the Device Research Conference, 2019

Cryogenic Response of HKMG MOSFETs for Quantum Computing Systems.
Proceedings of the Device Research Conference, 2019

A 3T/Cell Practical Embedded Nonvolatile Memory Supporting Symmetric Read and Write Access Based on Ferroelectric FETs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
A FeFET Based Processing-In-Memory Architecture for Solving Distributed Least-Square Optimizations.
Proceedings of the 76th Device Research Conference, 2018

Insinhts on the DC Characterization of Ferroelectric Field-Effect-Transistors.
Proceedings of the 76th Device Research Conference, 2018

Computing with ferroelectric FETs: Devices, models, systems, and applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Investigation of electrically gate-all-around hexagonal nanowire FET (HexFET) architecture for 5 nm node logic and SRAM applications.
Proceedings of the 47th European Solid-State Device Research Conference, 2017


  Loading...