Xinmiao Zhang

Orcid: 0000-0002-8289-2377

According to our database1, Xinmiao Zhang authored at least 137 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
PDG: A Prefetcher for Dynamic Graph Updating.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2024

Hardware Circuits and Systems Design for Post-Quantum Cryptography - A Tutorial Brief.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

Low-Complexity Ciphertext Multiplication for CKKS Homomorphic Encryption.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

Generalized Integrated Interleaved Codes for High-Density DRAMs.
IEEE Trans. Circuits Syst. II Express Briefs, January, 2024

Characterization of Polarized SAR Scattering of Breaking Waves Caused by Internal Solitary Waves.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2024

Low-Complexity Integer Divider Architecture for Homomorphic Encryption.
CoRR, 2024

2023
Low-Complexity Parallel Min-Sum Medium-Density Parity-Check Decoder for McEliece Cryptosystem.
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023

Joint Protection Scheme for Deep Neural Network Hardware Accelerators and Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

Leading and following: Noise differently affects semantic and acoustic processing during naturalistic speech comprehension.
NeuroImage, November, 2023

Sparsity-Aware Medium-Density Parity-Check Decoder for McEliece Cryptosystems.
IEEE Trans. Circuits Syst. II Express Briefs, September, 2023

High-Speed VLSI Architectures for Modular Polynomial Multiplication via Fast Filtering and Applications to Lattice-Based Cryptography.
IEEE Trans. Computers, September, 2023

Algorithmic Obfuscation for LDPC Decoders.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

Fast and Low-Complexity Soft-Decision Generalized Integrated Interleaved Decoder.
IEEE J. Sel. Areas Inf. Theory, 2023

Dimensions of Channel Coding: From Theory to Algorithms to Applications.
IEEE J. Sel. Areas Inf. Theory, 2023

Human Emotion Knowledge Representation Emerges in Large Language Model and Supports Discrete Emotion Inference.
CoRR, 2023

2022
Polynomial Multiplication Architecture with Integrated Modular Reduction for R-LWE Cryptosystems.
J. Signal Process. Syst., 2022

Efficient Hardware Implementation Architectures for Long Integer Modular Multiplication over General Solinas Prime.
J. Signal Process. Syst., 2022

Low-Latency Nested Decoding for Short Generalized Integrated Interleaved BCH Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Efficient Sub-Codeword Key Equation Solver for Generalized Integrated Interleaved BCH Decoder.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Low-Complexity Resource-Shareable Parallel Generalized Integrated Interleaved Encoder.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Fast En/Decoding of Reed-Solomon Codes for Failure Recovery.
IEEE Trans. Computers, 2022

A note on polychromatic colorings of plane graphs.
Discret. Math. Algorithms Appl., 2022

A Survey on High-Throughput Non-Binary LDPC Decoders: ASIC, FPGA, and GPU Architectures.
IEEE Commun. Surv. Tutorials, 2022

Efficient Reconfigurable Vandermonde Matrix Inverter for Erasure-Correcting Generalized Integrated Interleaved Decoding.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2022

Low-Complexity AES Architectures Resilient to Power Analysis Attacks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Efficient Check Node Processing for Min-Max NB-LDPC Decoding over Lower-Order Finite Fields.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Efficient Nested Key Equation Solver for Short Generalized Integrated Interleaved BCH Codes.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Improved Miscorrection Detection for Generalized Integrated Interleaved BCH Codes.
Proceedings of the IEEE International Conference on Communications, 2022

2021
Generalized SAT-Attack-Resistant Logic Locking.
IEEE Trans. Inf. Forensics Secur., 2021

Fast Nested Key Equation Solvers for Generalized Integrated Interleaved Decoder.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Special Section on Edge AI and Accelerators.
IEEE Open J. Circuits Syst., 2021

RWNE: A Scalable Random-Walk based Network Embedding Framework with Personalized Higher-order Proximity Preserved.
J. Artif. Intell. Res., 2021

Miscorrection Mitigation for Generalized Integrated Interleaved BCH Codes.
IEEE Commun. Lett., 2021

Low-Latency VLSI Architectures for Modular Polynomial Multiplication via Fast Filtering and Applications to Lattice-Based Cryptography.
CoRR, 2021

Strong edge-colorings of planar graphs with small girth.
Appl. Math. Comput., 2021

An Efficient Parallel Architecture for Resource-Shareable Reed-Solomon Encoder.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2021

Efficient Architecture for Long Integer Modular Multiplication over Solinas Prime.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2021

A Low-Complexity Flexible Logic-Locking Scheme Resisting Removal Attacks.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

Low-Complexity Parallel Cyclic Redundancy Check.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Reduced-Complexity Modular Polynomial Multiplication for R-LWE Cryptosystems.
Proceedings of the IEEE International Conference on Acoustics, 2021

Scaled Fast Nested Key Equation Solver for Generalized Integrated Interleaved BCH Decoders.
Proceedings of the IEEE International Conference on Acoustics, 2021

The effect of visual cues on muscular activation in the lower limbs of Parkinson's disease patients with freezing of gait: a preliminary study.
Proceedings of the 43rd Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2021

Pipelined High-Throughput NTT Architecture for Lattice-Based Cryptography.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2021

2020
Efficient VLSI Architectures for Coupled-Layered Regenerating Codes.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Reduced-Complexity Key Equation Solvers for Generalized Integrated Interleaved BCH Decoders.
IEEE Trans. Circuits Syst., 2020

Scaled Nested Key Equation Solver for Generalized Integrated Interleaved Decoder.
IEEE Trans. Circuits Syst., 2020

VLSI Architectures for Reed-Solomon Codes: Classic, Nested, Coupled, and Beyond.
IEEE Open J. Circuits Syst., 2020

Low-Complexity Architectures for Parallel Long BCH Encoders.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2020

Efficient Nested Key Equation Solver Architectures for Generalized Integrated Interleaved Codes.
Proceedings of the Information Theory and Applications Workshop, 2020

A New Logic-Locking Scheme Resilient to Gate Removal Attack.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

High-Speed and Low-Complexity Parallel Long BCH Encoder.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
Efficient Architectures for Generalized Integrated Interleaved Decoder.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Low-Power Parallel Architecture for Linear Feedback Shift Registers.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

On the Construction of Composite Finite Fields for Hardware Obfuscation.
IEEE Trans. Computers, 2019

Relaxing the Constraints on Locally Recoverable Erasure Codes by Finite Field Element Variation.
IEEE Commun. Lett., 2019

RWNE: A Scalable Random-Walk based Network Embedding Framework with Personalized Higher-order Proximity Preserved.
CoRR, 2019

Side Channel Attack Resistant AES Design Based on Finite Field Construction Variation.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019

Decoding of Generalized Three-Layer Integrated Interleaved Codes.
Proceedings of the IEEE International Symposium on Information Theory, 2019

Reducing Parallel Linear Feedback Shift Register Complexity Through Input Tap Modification.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Hardware Obfuscation of AES through Finite Field Construction Variation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Hardware Obfuscation Through Reconfiguration Finite Field Arithmetic Units.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Systematic Encoder of Generalized Three-Layer Integrated Interleaved Codes.
Proceedings of the 2019 IEEE International Conference on Communications, 2019

2018
Generalized Three-Layer Integrated Interleaved Codes.
IEEE Commun. Lett., 2018

Ultra-Compressed Three-Error-Correcting BCH Decoder.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Perfect Column-Layered Two-Bit Message-Passing LDPC Decoder and Architectures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Low-Complexity Transformed Encoder Architectures for Quasi-Cyclic Nonbinary LDPC Codes Over Subfields.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Fuzzy synthetic condition assessment of wind turbine based on combination weighting and cloud model.
J. Intell. Fuzzy Syst., 2017

Modified Generalized Integrated Interleaved Codes for Local Erasure Recovery.
IEEE Commun. Lett., 2017

2016
A Flexible and Low-Complexity Local Erasure Recovery Scheme.
IEEE Commun. Lett., 2016

Low-power partial-parallel Chien search architecture with polynomial degree reduction.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

2015
Low-Complexity Modified Trellis-Based Min-Max Non- Binary LDPC Decoders.
J. Commun., 2015

Modified trellis-based Min-max decoder for non-binary LDPC codes.
Proceedings of the International Conference on Computing, Networking and Communications, 2015

2014
Efficient Check Node Processing Architectures for Non-binary LDPC Decoding Using Power Representation.
J. Signal Process. Syst., 2014

Finite Alphabet Iterative Decoders for LDPC Codes: Optimization, Architecture and Analysis.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Interpolation-based Chase BCH decoder.
Proceedings of the 2014 Information Theory and Applications Workshop, 2014

High-speed multi-block-row layered decoding for Quasi-cyclic LDPC codes.
Proceedings of the 2014 IEEE Global Conference on Signal and Information Processing, 2014

2013
Relaxed Min-Max Decoder Architectures for Nonbinary Low-Density Parity-Check Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Generalized Backward Interpolation for Algebraic Soft-Decision Decoding of Reed-Solomon Codes.
IEEE Trans. Commun., 2013

An Efficient Interpolation-Based Chase BCH Decoder.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

Reducing the latency of Lee-O'Sullivan interpolation through modified initialization.
Proceedings of the 2013 Information Theory and Applications Workshop, 2013

Low-power design of Reed-Solomon encoders.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Low-energy and low-latency error-correction for phase change memory.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Low-complexity finite alphabet iterative decoders for LDPC codes.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

2012
Efficient Generalized Minimum-distance Decoders of Reed-Solomon Codes.
J. Signal Process. Syst., 2012

Modified Low-Complexity Chase Soft-Decision Decoder of Reed-Solomon Codes.
J. Signal Process. Syst., 2012

Novel Interpolation and Polynomial Selection for Low-Complexity Chase Soft-Decision Reed-Solomon Decoding.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Low-Complexity Reliability-Based Message-Passing Decoder Architectures for Non-Binary LDPC Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Efficient Reencoder Architectures for Algebraic Soft-Decision Reed-Solomon Decoding.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

Systematically Re-encoded Algebraic Soft-Decision Reed-Solomon Decoder.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

A Low-Complexity Three-Error-Correcting BCH Decoder for Optical Transport Network.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

On the implementation of modified fuzzy vault for biometric encryption.
Proceedings of the 2012 Information Theory and Applications Workshop, 2012

Low complexity full parallel Multi-Split LDPC decoder reusing sign wire of row processor.
Proceedings of the International SoC Design Conference, 2012

Low-power LDPC decoding based on iteration prediction.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Phase recovery for QPSK transmission without using complex multipliers.
Proceedings of the 6th International Conference on Ubiquitous Information Management and Communication, 2012

A Chase-type Koetter-Vardy algorithm for soft-decision Reed-Solomon decoding.
Proceedings of the International Conference on Computing, Networking and Communications, 2012

Increasing the energy efficiency of WSNs using algebraic soft-decision reed-solomon decoders.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
Reduced-Complexity Decoder Architecture for Non-Binary LDPC Codes.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Efficient Partial-Parallel Decoder Architecture for Quasi-Cyclic Nonbinary LDPC Codes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

Reliability-Driven ECC Allocation for Multiple Bit Error Resilience in Processor Cache.
IEEE Trans. Computers, 2011

Reduced-complexity column-layered decoding and implementation for LDPC codes.
IET Commun., 2011

Efficient codeword recovery architecture for low-complexity Chase Reed-Solomon decoding.
Proceedings of the Information Theory and Applications Workshop, 2011

A novel polynomial selection scheme for low-complexity chase algebraic soft-decision reed-solomon decoding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Low-complexity architectures for reliability-based message-passing non-binary LDPC decoding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

An efficient architecture for iterative soft reliability-based majority-logic non-binary LDPC decoding.
Proceedings of the Conference Record of the Forty Fifth Asilomar Conference on Signals, 2011

2010
Algebraic Soft-Decision Decoder Architectures for Long Reed-Solomon Codes.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

High-Throughput Interpolation Architecture for Algebraic Soft-Decision Reed-Solomon Decoding.
IEEE Trans. Circuits Syst. I Regul. Pap., 2010

Investigation of Low-Latitude Ionospheric Field-Aligned Irregularities by Oblique Backscatter Sounding.
IEEE Geosci. Remote. Sens. Lett., 2010

Comparison of Radar Waveforms for a Low-Power Vertical-Incidence Ionosonde.
IEEE Geosci. Remote. Sens. Lett., 2010

Hardware complexities of algebraic soft-decision Reed-Solomon decoders and comparisons.
Proceedings of the Information Theory and Applications Workshop, 2010

High-speed re-encoder design for algebraic soft-decision Reed-Solomon decoding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Efficient architecture for generalized minimum-distance decoder of Reed-Solomon codes.
Proceedings of the IEEE International Conference on Acoustics, 2010

Partial-parallel decoder architecture for quasi-cyclic non-binary LDPC codes.
Proceedings of the IEEE International Conference on Acoustics, 2010

High-speed architecture for image reconstruction based on compressive sensing.
Proceedings of the IEEE International Conference on Acoustics, 2010

Reduced-latency scheduling scheme for min-max non-binary LDPC decoding.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
Backward Interpolation Architecture for Algebraic Soft-Decision Reed-Solomon Decoding.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Factorization-free Low-complexity Chase Soft-decision Decoding of Reed-Solomon Codes.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2008
Efficient VLSI Architecture for Soft-Decision Decoding of Reed-Solomon Codes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Efficient interpolration architecture for soft-decision Reed-Solomon decoding by applying slow-down.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2008

Error correction for multi-level NAND flash memory using Reed-Solomon codes.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2008

Novel interpolation architecture for Low-Complexity Chase soft-decision decoding of Reed-Solomon codes.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

FPGA implementation of a factorization processor for soft-decision reed-solomon decoding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Combined interpolation architecture for soft-decision decoding of Reed-Solomon codes.
Proceedings of the 26th International Conference on Computer Design, 2008

Scalable interpolation architecture for soft-decision Reed-Solomon decoding.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

Efficient architecture for the Tate pairing in characteristic three.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

Efficient decoder design for high-throughput LDPC decoding.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

VLSi architecture design for algebraic soft-decision Reed-Solomon decoding.
Proceedings of the 42nd Asilomar Conference on Signals, Systems and Computers, 2008

2007
Further Exploring the Strength of Prediction in the Factorization of Soft-Decision Reed-Solomon Decoding.
IEEE Trans. Very Large Scale Integr. Syst., 2007

MONET Special Issue on Next Generation Hardware Architectures for Secure Mobile Computing.
Mob. Networks Appl., 2007

Efficient Interpolation Architecture for Soft-Decision Reed-Solomon Decoding.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007

Low-complexity Interpolation Architecture for Soft-decision Reed-Solomon Decoding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2006
Reduced Complexity Interpolation Architecture for Soft-Decision Reed-Solomon Decoding.
IEEE Trans. Very Large Scale Integr. Syst., 2006

On the Optimum Constructions of Composite Field for the AES Algorithm.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

High-speed Factorization Architecture for Soft-decision Reed-Solomon Decoding.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Partial parallel factorization in soft-decision Reed-Solomon decoding.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

2005
High-Speed Architectures for Parallel Long BCH Encoders.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Fast factorization architecture in soft-decision Reed-Solomon decoding.
IEEE Trans. Very Large Scale Integr. Syst., 2005

2004
High-speed VLSI architectures for the AES algorithm.
IEEE Trans. Very Large Scale Integr. Syst., 2004


  Loading...