Gauthaman Murali

Orcid: 0000-0003-0146-4977

According to our database1, Gauthaman Murali authored at least 16 papers between 2019 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
On Continuing DNN Accelerator Architecture Scaling Using Tightly Coupled Compute-on-Memory 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., October, 2023

A PPA Study of Reinforced Placement Parameter Autotuning: Pseudo-3D vs. True-3D Placers.
ACM Trans. Design Autom. Electr. Syst., September, 2023

3DNN-Xplorer: A Machine Learning Framework for Design Space Exploration of Heterogeneous 3D DNN Accelerators.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

2022
A Machine Learning-Powered Tier Partitioning Methodology for Monolithic 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Design Automation and Test Solutions for Monolithic 3D ICs.
ACM J. Emerg. Technol. Comput. Syst., 2022

ART-3D: Analytical 3D Placement with Reinforced Parameter Tuning for Monolithic 3D ICs.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

2021
Heterogeneous Mixed-Signal Monolithic 3-D In-Memory Computing Using Resistive RAM.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Clock Delivery Network Design and Analysis for Interposer-Based 2.5-D Heterogeneous Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2021

ParaMitE: Mitigating Parasitic CNFETs in the Presence of Unetched CNTs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Heterogeneous 3D ICs: Current Status and Future Directions for Physical Design Technologies.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Architecture, Chip, and Package Codesign Flow for Interposer-Based 2.5-D Chiplet Integration Enabling Heterogeneous IP Reuse.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Advances in Design and Test of Monolithic 3-D ICs.
IEEE Des. Test, 2020

Statistical Array Allocation and Partitioning for Compute In-Memory Fabrics.
Proceedings of the VLSI-SoC: Design Trends, 2020

Breaking Barriers: Maximizing Array Utilization for Compute in-Memory Fabrics.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020

RTL-to-GDS Design Tools for Monolithic 3D ICs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2019
Architecture, Chip, and Package Co-design Flow for 2.5D IC Design Enabling Heterogeneous IP Reuse.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019


  Loading...