Zidong Du

Orcid: 0000-0002-7603-4210

According to our database1, Zidong Du authored at least 82 papers between 2011 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

Assessing and Understanding Creativity in Large Language Models.
CoRR, 2024

Emergent Communication for Numerical Concepts Generalization.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

Hypothesis, Verification, and Induction: Grounding Large Language Models with Self-Driven Skill Learning.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
Hardware Acceleration for SLAM in Mobile Systems.
J. Comput. Sci. Technol., December, 2023

Chip design with machine learning: a survey from algorithm perspective.
Sci. China Inf. Sci., November, 2023

Learning controllable elements oriented representations for reinforcement learning.
Neurocomputing, September, 2023

Rescue to the Curse of universality.
Sci. China Inf. Sci., September, 2023

Emergent Communication for Rules Reasoning.
CoRR, 2023

Context Shift Reduction for Offline Meta-Reinforcement Learning.
CoRR, 2023

Efficient Symbolic Policy Learning with Differentiable Symbolic Expression.
CoRR, 2023

Self-driven Grounding: Large Language Model Agents with Automatical Language-aligned Skill Learning.
CoRR, 2023

Pushing the Limits of Machine Design: Automated CPU Design with AI.
CoRR, 2023

Flew Over Learning Trap: Learn Unlearnable Samples by Progressive Staged Training.
CoRR, 2023

Unlearnable Examples for Diffusion Models: Protect Data from Unauthorized Exploitation.
CoRR, 2023

ANPL: Compiling Natural Programs with Interactive Decomposition.
CoRR, 2023

Ultra-low Precision Multiplication-free Training for Deep Neural Networks.
CoRR, 2023

Online Symbolic Regression with Informative Query.
CoRR, 2023

Decompose a Task into Generalizable Subtasks in Multi-Agent Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Contrastive Modules with Temporal Attention for Multi-Task Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

ANPL: Towards Natural Programming with Interactive Decomposition.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Emergent Communication for Rules Reasoning.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Efficient Symbolic Policy Learning with Differentiable Symbolic Expression.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Context Shift Reduction for Offline Meta-Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

Cambricon-R: A Fully Fused Accelerator for Real-Time Learning of Neural Scene Representation.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Cambricon-U: A Systolic Random Increment Memory Architecture for Unary Computing.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Online Prototype Alignment for Few-shot Policy Transfer.
Proceedings of the International Conference on Machine Learning, 2023

BALTO: fast tensor program optimization with diversity-based active learning.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Heron: Automatically Constrained High-Performance Library Generation for Deep Learning Accelerators.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

Conceptual Reinforcement Learning for Language-Conditioned Tasks.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

Online Symbolic Regression with Informative Query.
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023

2022
Rethinking the Importance of Quantization Bias, Toward Full Low-Bit Training.
IEEE Trans. Image Process., 2022

Cambricon-G: A Polyvalent Energy-Efficient Accelerator for Dynamic Graph Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Rubik: A Hierarchical Architecture for Efficient Graph Neural Network Training.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Enabling One-Size-Fits-All Compilation Optimization for Inference Across Machine Learning Computers.
IEEE Trans. Computers, 2022

OctCNN: A High Throughput FPGA Accelerator for CNNs Using Octave Convolution Algorithm.
IEEE Trans. Computers, 2022

A Systematic View of Model Leakage Risks in Deep Neural Network Systems.
IEEE Trans. Computers, 2022

Breaking the Interaction Wall: A DLPU-Centric Deep Learning Computing System.
IEEE Trans. Computers, 2022

Real-Time Robust Video Object Detection System Against Physical-World Adversarial Attacks.
CoRR, 2022

Object-Category Aware Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Accelerating Sparse Convolution with Column Vector-Wise Sparsity.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Causality-driven Hierarchical Structure Discovery for Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Cambricon-P: A Bitflow Architecture for Arbitrary Precision Computing.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

BabelTower: Learning to Auto-parallelized Program Translation.
Proceedings of the International Conference on Machine Learning, 2022

Neural Program Synthesis with Query.
Proceedings of the Tenth International Conference on Learning Representations, 2022

In-situ self-powered intelligent vision system with inference-adaptive energy scheduling for BNN-based always-on perception.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Eden: A Unified Environment Framework for Booming Reinforcement Learning Algorithms.
CoRR, 2021

ScaleCert: Scalable Certified Defense against Adversarial Patches with Sparse Superficial Layers.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Cambricon-Q: A Hybrid Architecture for Efficient Training.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Hindsight Value Function for Variance Reduction in Stochastic Dynamic Environment.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

2020
ParaML: A Polyvalent Multicore Accelerator for Machine Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Machine Learning Computers With Fractal von Neumann Architecture.
IEEE Trans. Computers, 2020

Addressing Irregularity in Sparse Neural Networks Through a Cooperative Software/Hardware Approach.
IEEE Trans. Computers, 2020

Self-Aware Neural Network Systems: A Survey and New Perspective.
Proc. IEEE, 2020

Rubik: A Hierarchical Architecture for Efficient Graph Learning.
CoRR, 2020

ALT: Optimizing Tensor Compilation in Deep Learning Compilers with Active Learning.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Balancing Efficiency and Flexibility for DNN Acceleration via Temporal GPU-Systolic Array Integration.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Fixed-Point Back-Propagation Training.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

DWM: A Decomposable Winograd Method for Convolution Acceleration.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
Addressing Sparsity in Deep Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

CompactNet: Platform-Aware Automatic Optimization for Convolutional Neural Networks.
CoRR, 2019

Cambricon-F: machine learning computers with fractal von neumann architecture.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

TDSNN: From Deep Neural Networks to Deep Spike Neural Networks with Temporal-Coding.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019

2018
An Instruction Set Architecture for Machine Learning.
ACM Trans. Comput. Syst., 2018

BenchIP: Benchmarking Intelligence Processors.
J. Comput. Sci. Technol., 2018

DLIR: An Intermediate Representation for Deep Learning Processors.
Proceedings of the Network and Parallel Computing, 2018

Cambricon-S: Addressing Irregularity in Sparse Neural Networks through A Cooperative Software/Hardware Approach.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

2017
An Accelerator for High Efficient Vision Processing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

BENCHIP: Benchmarking Intelligence Processors.
CoRR, 2017

2016
Cambricon-X: An accelerator for sparse neural networks.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Cambricon: An Instruction Set Architecture for Neural Networks.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016

2015
A Small-Footprint Accelerator for Large-Scale Neural Networks.
ACM Trans. Comput. Syst., 2015

Leveraging the Error Resilience of Neural Networks for Designing Highly Energy Efficient Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

A High-Throughput Neural Network Accelerator.
IEEE Micro, 2015

Neuromorphic accelerators: a comparison between neuroscience and machine-learning approaches.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

ShiDianNao: shifting vision processing closer to the sensor.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015

Retraining-based timing error mitigation for hardware neural networks.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Performance Portability Across Heterogeneous SoCs Using a Generalized Library-Based Approach.
ACM Trans. Archit. Code Optim., 2014

DianNao: a small-footprint high-throughput accelerator for ubiquitous machine-learning.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014

Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2012
A "Near-the-Best" System-Level Design Methodology of Multi-Core H.264 Video Decoder Based on the Parallelized Multi-Core Simulator.
J. Circuits Syst. Comput., 2012

2011
System-Level Evaluation of Video Processing System Using SimpleScalar-Based Multi-core Processor Simulator.
Proceedings of the 10th International Symposium on Autonomous Decentralized Systems, 2011


  Loading...