Ling Liang

Orcid: 0000-0002-8534-6494

According to our database1, Ling Liang authored at least 67 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
An Inexact Halpern Iteration with Application to Distributionally Robust Optimization.
CoRR, 2024

On the Stochastic (Variance-Reduced) Proximal Gradient Method for Regularized Expected Reward Optimization.
CoRR, 2024

2023
Optimization model of trade credit and asset-based securitization financing in carbon emission reduction supply chain.
Ann. Oper. Res., December, 2023

Comprehensive SNN Compression Using ADMM Optimization and Activity Regularization.
IEEE Trans. Neural Networks Learn. Syst., June, 2023

Exploring Adversarial Attack in Spiking Neural Networks With Spike-Compatible Gradient.
IEEE Trans. Neural Networks Learn. Syst., May, 2023

Getting more third-party participants on board: Optimal pricing and investment decisions in competitive platform ecosystems.
Eur. J. Oper. Res., May, 2023

An efficient implementable inexact entropic proximal point algorithm for a class of linear programming problems.
Comput. Optim. Appl., May, 2023

SDP: Co-Designing Algorithm, Dataflow, and Architecture for In-SRAM Sparse NN Acceleration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

SPG: Structure-Private Graph Database via SqueezePIR.
Proc. VLDB Endow., 2023

An inexact projected gradient method with rounding and lifting by nonlinear programming for solving rank-one semidefinite relaxation of polynomial optimization.
Math. Program., 2023

ReDCIM: Reconfigurable Digital Computing- In -Memory Processor With Unified FP/INT Pipeline for Cloud AI Acceleration.
IEEE J. Solid State Circuits, 2023

TranCIM: Full-Digital Bitline-Transpose CIM-based Sparse Transformer Accelerator With Pipeline/Parallel Reconfigurable Modes.
IEEE J. Solid State Circuits, 2023

NP-Hardness of Tensor Network Contraction Ordering.
CoRR, 2023

Device-Architecture Co-optimization for RRAM-based In-memory Computing.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
QPPAL: A Two-phase Proximal Augmented Lagrangian Method for High-dimensional Convex Quadratic Programming Problems.
ACM Trans. Math. Softw., 2022

Multi-View Clustering With the Cooperation of Visible and Hidden Views.
IEEE Trans. Knowl. Data Eng., 2022

Enhanced Multiview Fuzzy Clustering Using Double Visible-Hidden View Cooperation and Network LASSO Constraint.
IEEE Trans. Fuzzy Syst., 2022

Hardware-Enabled Efficient Data Processing With Tensor-Train Decomposition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

H2Learn: High-Efficiency Learning Accelerator for High-Accuracy Spiking Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Rubik: A Hierarchical Architecture for Efficient Graph Neural Network Training.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Systematic View of Model Leakage Risks in Deep Neural Network Systems.
IEEE Trans. Computers, 2022

A New Homotopy Proximal Variable-Metric Framework for Composite Convex Minimization.
Math. Oper. Res., 2022

On Degenerate Doubly Nonnegative Projection Problems.
Math. Oper. Res., 2022

Advance selling of uncertain demand in low-carbon supply chain.
Ind. Manag. Data Syst., 2022

Escaping Spurious Local Minima of Low-Rank Matrix Factorization Through Convex Lifting.
CoRR, 2022

Manufacture's entry and green strategies with carbon trading policy.
Comput. Ind. Eng., 2022

Toward Robust Spiking Neural Network Against Adversarial Perturbation.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

A 28nm 15.59µJ/Token Full-Digital Bitline-Transpose CIM-Based Sparse Transformer Accelerator with Pipeline/Parallel Reconfigurable Modes.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A 28nm 29.2TFLOPS/W BF16 and 36.5TOPS/W INT8 Reconfigurable Digital CIM Processor with Unified FP/INT Pipeline and Bitwise In-Memory Booth Multiplication for Cloud Deep Learning Acceleration.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

INSPIRE: in-storage private information retrieval via protocol and architecture co-design.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

Accelerating Spatiotemporal Supervised Training of Large-Scale Spiking Neural Networks on GPU.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Effective and Efficient Batch Normalization Using a Few Uncorrelated Data for Statistics Estimation.
IEEE Trans. Neural Networks Learn. Syst., 2021

Practical Attacks on Deep Neural Networks by Memory Trojaning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

An Inexact Augmented Lagrangian Method for Second-Order Cone Programming with Applications.
SIAM J. Optim., 2021

Social influence minimization based on context-aware multiple influences diffusion model.
Knowl. Based Syst., 2021

Fast Search of the Optimal Contraction Sequence in Tensor Networks.
IEEE J. Sel. Top. Signal Process., 2021

Optimal pricing model of car-sharing: market pricing or platform pricing.
Ind. Manag. Data Syst., 2021

How social enterprises gain cognitive legitimacy in the post-pandemic period? Social welfare logic and digital transformation.
Ind. Manag. Data Syst., 2021

Tensor train decomposition for solving large-scale linear equations.
Neurocomputing, 2021

STRIDE along Spectrahedral Vertices for Solving Large-Scale Rank-One Semidefinite Relaxations.
CoRR, 2021

ScaleCert: Scalable Certified Defense against Adversarial Patches with Sparse Superficial Layers.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Brain-Inspired Computing: Adventure from Beyond CMOS Technologies to Beyond von Neumann Architectures ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

SpaceA: Sparse Matrix Vector Multiplication on Processing-in-Memory Accelerator.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

SEALing Neural Network Models in Encrypted Deep Learning Accelerators.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
SemiMap: A Semi-Folded Convolution Mapping for Speed-Overhead Balance on Crossbars.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Rethinking the performance comparison between SNNS and ANNS.
Neural Networks, 2020

Tianjic: A Unified and Scalable Chip Bridging Spike-Based and Continuous Neural Computation.
IEEE J. Solid State Circuits, 2020

Rubik: A Hierarchical Architecture for Efficient Graph Learning.
CoRR, 2020

SEALing Neural Network Models in Secure Deep Learning Accelerators.
CoRR, 2020

Contracting Emissions Reduction Supply Chain Based on Market Low-Carbon Preference and Carbon Intensity Constraint.
Asia Pac. J. Oper. Res., 2020

HyGCN: A GCN Accelerator with Hybrid Architecture.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020

DeepSniffer: A DNN Model Extraction Framework Based on Learning Architectural Hints.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
The optimization of pricing strategy for the wind power equipment aftermarket service.
Ind. Manag. Data Syst., 2019

Multi-View Fuzzy Clustering with The Alternative Learning between Shared Hidden Space and Partition.
CoRR, 2019

Neural Network Model Extraction Attacks in Edge Devices by Hearing Architectural Hints.
CoRR, 2019

2018
Pricing strategy for renewable energy source electricity in the competitive hybrid electricity market.
Ind. Manag. Data Syst., 2018

Crossbar-Aware Neural Network Pruning.
IEEE Access, 2018

TETRIS: TilE-matching the TRemendous Irregular Sparsity.
Proceedings of the Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, 2018

2017
Revenue sharing contract coordination of wind turbine order policy and aftermarket service based on joint effort.
Ind. Manag. Data Syst., 2017

RES-E capacity investment under uncertain renewable energy supply and volatile electricity spot price.
Ind. Manag. Data Syst., 2017

Security in cyber-physical systems: challenges and solutions.
Int. J. Auton. Adapt. Commun. Syst., 2017

Optimizing capacity investment on renewable energy source supply chain.
Comput. Ind. Eng., 2017

A software architecture for energy consumption optimization in location-based mobile applications.
Proceedings of the IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society, Beijing, China, October 29, 2017

2016
From cyber-physical systems to Industry 4.0: make future manufacturing become possible.
Int. J. Manuf. Res., 2016

2015
Dynamic acquisition pricing policy under uncertain remanufactured-product demand.
Ind. Manag. Data Syst., 2015

2013
Design Support Tools of Cyber-Physical Systems.
Proceedings of the Cloud Computing - 4th International Conference, CloudComp 2013, Wuhan, 2013

2009
A Multi-variable Algorithm for Placing Phi-nodes.
Proceedings of the 8th IEEE/ACIS International Conference on Computer and Information Science, 2009


  Loading...