Krishna V. Palem

Affiliations:
  • Rice University, Houston, TX, USA


According to our database1, Krishna V. Palem authored at least 92 papers between 1988 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Algorithmic Foundations of Inexact Computing.
CoRR, 2023

2022
Quantum learning of concentrated Boolean functions.
Quantum Inf. Process., 2022

GOAL: Supporting General and Dynamic Adaptation in Computing Systems.
Proceedings of the 2022 ACM SIGPLAN International Symposium on New Ideas, 2022

2020
A polynomial time parallel algorithm for graph isomorphism using a quasipolynomial number of processors.
CoRR, 2020

2019
Proteus: Language and Runtime Support for Self-Adaptive Software Development.
IEEE Softw., 2019

An Optimum Inexact Design for an Energy Efficient Hearing Aid.
J. Low Power Electron., 2019

Language Support for Adaptation: Intent-Driven Programming in FAST.
CoRR, 2019

Data-driven prediction of a multi-scale Lorenz 96 chaotic system using a hierarchy of deep learning methods: Reservoir computing, ANN, and RNN-LSTM.
CoRR, 2019

2017
Design and Applications of Approximate Circuits by Gate-Level Pruning.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Sustaining Moore's Law Through Inexactness.
CoRR, 2017

A study on the energy-precision tradeoffs on commercially available processors and SoCs with an EPI based energy model.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Location detection for navigation using IMUs with a map through coarse-grained machine learning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Doing Moore with Less - Leapfrogging Moore's Law with Inexactness for Supercomputing.
CoRR, 2016

CaPSuLe: A camera-based positioning system using learning.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Overcoming the power wall by exploiting inexactness and emerging COTS architectural features: Trading precision for improving application quality.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

2015
Leveraging the Error Resilience of Neural Networks for Designing Highly Energy Efficient Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

A fast pruning technique for low-power inexact Circuit design.
Proceedings of the IEEE 6th Latin American Symposium on Circuits & Systems, 2015

Automatic generation of inexact digital circuits by gate-level pruning.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Opportunities for energy efficient computing: a study of inexact general purpose processors for high-performance and big-data applications.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Novel inexact memory aware algorithm co-design for energy efficient computation: algorithmic principles.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Does customizing inexactness help over simplistic precision (bit-width) reduction? A case study.
Proceedings of the 2015 International Conference on Compilers, 2015

Perceptually guided inexact DSP design for power, area efficient hearing aid.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
What exactly is inexact computation good for?
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, 2014

Highly energy-efficient and quality-tunable inexact FFT accelerators.
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 2014

Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Ten Years of Building Broken Chips: The Physics and Engineering of Inexact Computing.
ACM Trans. Embed. Comput. Syst., 2013

Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques.
ACM Trans. Embed. Comput. Syst., 2013

Designing Energy-Efficient Arithmetic Operators Using Inexact Computing.
J. Low Power Electron., 2013

Why design reliable chips when faulty ones are even better.
Proceedings of the ESSCIRC 2013, 2013

Improving energy gains of <i>inexact</i> DSP hardware through <i>reciprocative error compensation</i>.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
What to do about the end of Moore's law, probably!
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Algorithmic methodologies for ultra-efficient inexact architectures for sustaining technology scaling.
Proceedings of the Computing Frontiers Conference, CF'12, 2012

Classification-Based Improvement of Application Robustness and Quality of Service in Probabilistic Computer Systems.
Proceedings of the Architecture of Computing Systems - ARCS 2012 - 25th International Conference, Munich, Germany, February 28, 2012

2011
Parsimonious Circuits for Error-Tolerant Applications through Probabilistic Logic Minimization.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

An approach to energy-error tradeoffs in approximate ripple carry adders.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Energy parsimonious circuit design through probabilistic pruning.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
The virtual hospital: the emergence of telemedicine.
Proceedings of the 2010 International Conference on Compilers, 2010

Compilers, architectures and synthesis for embedded computing: retrospect and prospect.
Proceedings of the 2010 International Conference on Compilers, 2010

Optimizing energy to minimize errors in dataflow graphs using approximate adders.
Proceedings of the 2010 International Conference on Compilers, 2010

The Arrow of Time through the Lens of Computing.
Proceedings of the Time for Verification, 2010

A probabilistic Boolean logic for energy efficient circuit and system design.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Sustaining moore's law in embedded computing through probabilistic and approximate design: retrospects and prospects.
Proceedings of the 2009 International Conference on Compilers, 2009

2008
Energy, Performance, and Probability Tradeoffs for Energy-Efficient Probabilistic CMOS Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

A fuzzy control chip based on Probabilistic CMOS technology.
Proceedings of the FUZZ-IEEE 2008, 2008

Highly energy and performance efficient embedded computing through approximately correct arithmetic: a mathematical foundation and preliminary experimental validation.
Proceedings of the 2008 International Conference on Compilers, 2008

2007
Probabilistic system-on-a-chip architectures.
ACM Trans. Design Autom. Electr. Syst., 2007

2006
Data trace cache: an application specific cache architecture.
SIGARCH Comput. Archit. News, 2006

Probabilistic Design: A Survey of Probabilistic CMOS Technology and Future Directions for Terascale IC Design.
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006

Probabilistic CMOS Technology: A Survey and Future Directions.
Proceedings of the IFIP VLSI-SoC 2006, 2006

Ultra-Low Energy Computing with Noise: Energy-Performance-Probability Trade-offs.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

Compiler optimization of embedded applications for an adaptive SoC architecture.
Proceedings of the 2006 International Conference on Compilers, 2006

Probabilistic arithmetic and energy efficient embedded signal processing.
Proceedings of the 2006 International Conference on Compilers, 2006

2005
Energy Aware Computing through Probabilistic Switching: A Study of Limits.
IEEE Trans. Computers, 2005

Guest Editors' Introduction.
IEEE Trans. Computers, 2005

2004
Adaptive Compiler Directed Prefetching for EPIC Processors.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2004

Trimaran: An Infrastructure for Research in Instruction-Level Parallelism.
Proceedings of the Languages and Compilers for High Performance Computing, 2004

A Framework for Compiler Driven Design Space Exploration for Embedded System Customization.
Proceedings of the Advances in Computer Science, 2004

2003
Data remapping for design space optimization of embedded memory systems.
ACM Trans. Embed. Comput. Syst., 2003

Energy Aware Algorithm Design via Probabilistic Computing: From Algorithms and Models to Moore?s Law and Novel (Semiconductor) Devices.
Proceedings of the High Performance Computing - HiPC 2003, 10th International Conference, 2003

Computational Proof as Experiment: Probabilistic Algorithms from a Thermodynamic Perspective.
Proceedings of the Verification: Theory and Practice, 2003

2002
TimeC: A Time Constraint Language for ILP Processor Compilation.
Constraints An Int. J., 2002

Design space optimization of embedded memory systems via data remapping.
Proceedings of the 2002 Joint Conference on Languages, 2002

A Framework for Data Prefetching Using Off-Line Training of Markovian Predictors.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

PD-XML: extensible markup language for processor description.
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002

Software Bubbles: Using Predication to Compensate for Aliasing in Software Pipelines.
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002

2001
Scheduling time-constrained instructions on pipelined processors.
ACM Trans. Program. Lang. Syst., 2001

Compiler Optimizations for Adaptive EPIC Processors.
Proceedings of the Embedded Software, First International Workshop, 2001

The emerging power crisis in embedded processors: what can a poor compiler do?
Proceedings of the 2001 International Conference on Compilers, 2001

2000
Connectivity Properties in Random Regular Graphs with Edge Faults.
Int. J. Found. Comput. Sci., 2000

1999
Emerging Application Domains and the Computing Fabric.
Proceedings of the Advances in Computing Science, 1999

1998
A Fast Algorithm for Scheduling Time-Constrained Instructions on Processors with ILP.
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998

1997
Run-Time versus Compile-Time Instruction Scheduling in Superscalar (RISC) Processors: Performance and Trade-Off.
J. Parallel Distributed Comput., 1997

Seeking Solutions in Configurable Computing.
Computer, 1997

End-to-end Solutions for Reconfigurable Systems: The Programming Gap and Challenges.
Proceedings of the 30th Annual Hawaii International Conference on System Sciences (HICSS-30), 1997

1996
Parallel Suffix-Prefix-Matching Algorithm and Applications.
SIAM J. Comput., 1996

Very Efficient Cyclic Shifts on Hypercubes.
J. Parallel Distributed Comput., 1996

Run-time versus compile-time instruction scheduling in superscalar (RISC) processors: performance and tradeoffs.
Proceedings of the 3rd International Conference on High Performance Computing, 1996

1995
Tail Bounds for Occupancy and the Satisfiability Threshold Conjecture.
Random Struct. Algorithms, 1995

1994
Non-standard stringology: algorithms and complexity.
Proceedings of the Twenty-Sixth Annual ACM Symposium on Theory of Computing, 1994

Short Vertex Disjoint Paths and Multiconnectivity in Random Graphs: Reliable Network Computing.
Proceedings of the Automata, Languages and Programming, 21st International Colloquium, 1994

1993
Scheduling Time-Critical Instructions on RISC Machines.
ACM Trans. Program. Lang. Syst., 1993

Highly Efficient Dictionary Matching in Parallel.
Proceedings of the 5th Annual ACM Symposium on Parallel Algorithms and Architectures, 1993

Highly Efficient Asynchronous Execution of Large-Grained Parallel Programs
Proceedings of the 34th Annual Symposium on Foundations of Computer Science, 1993

1992
Optimal Parallel Algorithms for Forest and Term Matching.
Theor. Comput. Sci., 1992

A Note on the Parallel Complexity of Anti-Unification.
J. Autom. Reason., 1992

Efficient Program Transformations for Resilient Parallel Computation via Randomization (Preliminary Version)
Proceedings of the 24th Annual ACM Symposium on Theory of Computing, 1992

1991
Fast Parallel Algorithms for Coloring Random Graphs.
Proceedings of the 17th International Workshop, 1991

Combining Tentative and Definite Executions for Very Fast Dependable Parallel Computing (Extended Abstract)
Proceedings of the 23rd Annual ACM Symposium on Theory of Computing, 1991

1990
Efficient Robust Parallel Computations (Extended Abstract)
Proceedings of the 22nd Annual ACM Symposium on Theory of Computing, 1990

1989
Optimal Parallel Suffix-Prefix Matching Algorithm and Applications.
Proceedings of the ACM Symposium on Parallel Algorithms and Architectures, 1989

1988
Efficient Parallel Algorithms for Anti-Unification and Relative Complement
Proceedings of the Third Annual Symposium on Logic in Computer Science (LICS '88), 1988


  Loading...