Fei Qiao

Orcid: 0000-0002-5054-9590

According to our database1, Fei Qiao authored at least 168 papers between 2004 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
A Fast Transient LDO Regulator Featuring High PSRR Over 100-kHz Frequency Range With Adaptive, Dynamic Biasing, and Current Mode Feed-Forward Amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024

2023
Human-Machine Interactive Learning Method Based on Active Learning for Smart Workshop Dynamic Scheduling.
IEEE Trans. Hum. Mach. Syst., December, 2023

Double deep Q-network-based self-adaptive scheduling approach for smart shop floor.
Neural Comput. Appl., October, 2023

Breaking the energy-efficiency barriers for smart sensing applications with "Sensing with Computing" architectures.
Sci. China Inf. Sci., October, 2023

Knowledge graph modeling method for product manufacturing process based on human-cyber-physical fusion.
Adv. Eng. Informatics, October, 2023

Solving a many-objective PFSP with reinforcement cumulative prospect theory in low-volume PCB manufacturing.
Neural Comput. Appl., September, 2023

A Survey of Approximate Computing: From Arithmetic Units Design to High-Level Applications.
J. Comput. Sci. Technol., April, 2023

Research progress and applications of memristor emulator circuits.
Microelectron. J., March, 2023

All-analog photoelectronic chip for high-speed vision tasks.
Nat., 2023

A new boredom-aware dual-resource constrained flexible job shop scheduling problem using a two-stage multi-objective particle swarm optimization algorithm.
Inf. Sci., 2023

A Phased Scheduling Method with an Improved GA for Material Delivery Problem of Aircraft Pulsating Assembly Line.
Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, 2023

A Two-Stage Search-Enhanced Evolutionary Algorithm for an Aerospace Component Production Scheduling Problem.
Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, 2023

A Three-Step Multi-Resolution Time-to-Digital Converter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Memory-Efficient and Real-Time SPAD-based dToF Depth Sensor with Spatial and Statistical Correlation.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Flexible Resource Allocation in Intelligent Manufacturing Systems Based on Machine and Worker.
Proceedings of the 19th IEEE International Conference on Automation Science and Engineering, 2023

2022
A Novel Fault Diagnosis Method Under Dynamic Working Conditions Based on a CNN With an Adaptive Learning Rate.
IEEE Trans. Instrum. Meas., 2022

Model Evolution Mechanism for Incremental Fault Diagnosis.
IEEE Trans. Instrum. Meas., 2022

Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Novel Multi-Criteria Sustainable Evaluation for Production Scheduling Based on Fuzzy Analytic Network Process and Cumulative Prospect Theory-Enhanced VIKOR.
IEEE Robotics Autom. Lett., 2022

Human-Machine Collaborative Decision-Making Method Based on Confidence for Smart Workshop Dynamic Scheduling.
IEEE Robotics Autom. Lett., 2022

Towards lifelong object recognition: A dataset and benchmark.
Pattern Recognit., 2022

A data-driven scheduling knowledge management method for smart shop floor.
Int. J. Comput. Integr. Manuf., 2022

An efficient adaptive genetic algorithm for energy saving in the hybrid flow shop scheduling with batch production at last stage.
Expert Syst. J. Knowl. Eng., 2022

A method of remaining useful life prediction of multi-source signals aero-engine based on RF-Transformer-LSTM.
Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, 2022

HOGEye: Neural Approximation of HOG Feature Extraction in RRAM-Based 3D-Stacked Image Sensors.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

OCTOANTS: A Heterogeneous Lightweight Intelligent Multi-Robot Collaboration System with Resource-constrained IoT Devices.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2022

In-situ self-powered intelligent vision system with inference-adaptive energy scheduling for BNN-based always-on perception.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

On the Way from Lightweight to Powerful Intelligence: A Heterogeneous Multi-Robot Social System with IoT Devices.
Proceedings of the 18th IEEE International Conference on Automation Science and Engineering, 2022

A 2.17μW@120fps Ultra-Low-Power Dual-Mode CMOS Image Sensor with Senputing Architecture.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Cross-Level Design of Approximate Computing for Continuous Perception System.
Proceedings of the Approximate Computing, 2022

2021
Equipment Health Assessment Based on Improved Incremental Support Vector Data Description.
IEEE Trans. Syst. Man Cybern. Syst., 2021

MACSen: A Processing-In-Sensor Architecture Integrating MAC Operations Into Image Sensor for Ultra-Low-Power BNN-Based Intelligent Visual Perception.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

NS-MD: Near-Sensor Motion Detection With Energy Harvesting Image Sensor for Always-On Visual Perception.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

NS-FDN: Near-Sensor Processing Architecture of Feature-Configurable Distributed Network for Beyond-Real-Time Always-on Keyword Spotting.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Reducing SRAM Reading Power With Column Data Segment and Weights Correlation Enhancement for CNN Processing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Hardware/Software Co-Design Methodology for Adaptive Approximate Computing in clustering and ANN Learning.
IEEE Open J. Comput. Soc., 2021

Industrial big-data-driven and CPS-based adaptive production scheduling for smart manufacturing.
Int. J. Prod. Res., 2021

Analog Sensing and Computing Systems with Low Power Consumption for Gesture Recognition.
Adv. Intell. Syst., 2021

A Hybrid Metaheuristic Algorithm with Novel Decoding Methods for Flexible Flow Shop Scheduling Considering Human Fatigue.
Proceedings of the 2021 IEEE International Conference on Systems, Man, and Cybernetics, 2021

A 5.9μW Ultra-Low-Power Dual-Resolution CIS Chip of Sensing-with-Computing for Always-on Intelligent Visual Devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

RaP-Net: A Region-wise and Point-wise Weighting Network to Extract Robust Features for Indoor Localization.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

A novel approach of fault diagnosis based on multi-source signals and attention mechanism.
Proceedings of the 17th IEEE International Conference on Automation Science and Engineering, 2021

A 4.57 μW@120fps Vision System of Sensing with Computing for BNN-Based Perception Applications.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021

Puncturing the memory wall: Joint optimization of network compression with approximate memory for ASR application.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
ASP-SIFT: Using Analog Signal Processing Architecture to Accelerate Keypoint Detection of SIFT Algorithm.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A Novel Rescheduling Method for Dynamic Semiconductor Manufacturing Systems.
IEEE Trans. Syst. Man Cybern. Syst., 2020

NS-CIM: A Current-Mode Computation-in-Memory Architecture Enabling Near-Sensor Processing for Intelligent IoT Vision Nodes.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Processing Near Sensor Architecture in Mixed-Signal Domain With CMOS Image Sensor of Convolutional-Kernel-Readout Method.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

EDSSA: An Encoder-Decoder Semantic Segmentation Networks Accelerator on OpenCL-Based FPGA Platform.
Sensors, 2020

Novel Energy- and Maintenance-Aware Collaborative Scheduling for A Hybrid Flow Shop Based on Dual Memetic Algorithms.
IEEE Robotics Autom. Lett., 2020

Clinical Effect of Small-Dose Dexmedetomidine Combined with Oxycodone for Patient-Controlled Analgesia After Colon Cancer Surgery.
J. Medical Imaging Health Informatics, 2020

Fine-grained access control based on Trusted Execution Environment.
Future Gener. Comput. Syst., 2020

Real-manufacturing-oriented big data analysis and data value evaluation with domain knowledge.
Comput. Stat., 2020

RaP-Net: A Region-wise and Point-wise Weighting Network to Extract Robust Keypoints for Indoor Localization.
CoRR, 2020

A Visual-Inertial Localization Method for Unmanned Aerial Vehicle in Underground Tunnel Dynamic Environments.
IEEE Access, 2020

MSP-MFCC: Energy-Efficient MFCC Feature Extraction Method With Mixed-Signal Processing Architecture for Wearable Speech Recognition Applications.
IEEE Access, 2020

C<sup>2</sup>IM: A Compact Computing-In-Memory Unit of 10 Transistors with Standard 6T SRAM.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

Human-Machine Cooperation Based Adaptive Scheduling for a Smart Shop Floor.
Proceedings of the 2020 IEEE International Conference on Systems, Man, and Cybernetics, 2020

Optimization and Evaluation of Energy-Efficient Mixed-Signal MFCC Feature Extraction Architecture.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

RARA: Dataflow Based Error Compensation Methods with Runtime Accuracy-Reconfigurable Adder.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

NS-KWS: joint optimization of near-sensor processing architecture and low-precision GRU for always-on keyword spotting.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

DXSLAM: A Robust and Efficient Visual SLAM System with Deep Features.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020

AppSRAM: Incremental Retraining Method to Compensate for SRAM Failure in Voltage-Scaling Embedded CNN System.
Proceedings of the 2020 IEEE International Conference on Integrated Circuits, 2020

Are We Ready for Service Robots? The OpenLORIS-Scene Datasets for Lifelong SLAM.
Proceedings of the 2020 IEEE International Conference on Robotics and Automation, 2020

OpenLORIS-Object: A Robotic Vision Dataset and Benchmark for Lifelong Deep Learning.
Proceedings of the 2020 IEEE International Conference on Robotics and Automation, 2020

Gesture Recognition System with Aging Awareness based on Tactile Perception.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2020

Utilizing Direct Photocurrent Computation and 2D Kernel Scheduling to Improve In-Sensor-Processing Efficiency.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Adaptive Scheduling for Smart Shop Floor Based on Deep Q-Network.
Proceedings of the 16th IEEE International Conference on Automation Science and Engineering, 2020

2019
Approximate Designs for Fast Fourier Transform (FFT) With Application to Speech Recognition.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Structure-Property Relationships in Graphene-Based Strain and Pressure Sensors for Potential Artificial Intelligence Applications.
Sensors, 2019

A single clock cycle approximate adder with hybrid prediction and error compensation methods.
Microelectron. J., 2019

Approximate computing for onboard anomaly detection from hyperspectral images.
J. Real Time Image Process., 2019

Scenario-based multi-objective robust scheduling for a semiconductor production line.
Int. J. Prod. Res., 2019

OpenLORIS-Object: A Dataset and Benchmark towards Lifelong Object Recognition.
CoRR, 2019

Electrocardiogram Baseline Wander Suppression Based on the Combination of Morphological and Wavelet Transformation Based Filtering.
Comput. Math. Methods Medicine, 2019

Energy-Aware Cascade optimization for Proportioning in the Sintering Process Using Improved Immune-Simulated Annealing Algorithm.
Proceedings of the 2019 IEEE International Conference on Systems, Man and Cybernetics, 2019

Ensemble Neural Network Method for Wind Speed Forecasting.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019

A DenseNet feature-based loop closure method for visual SLAM system.
Proceedings of the 2019 IEEE International Conference on Robotics and Biomimetics, 2019

Enabling New Computing Paradigms with Emerging Symmetric-Access Memories.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

A 1.8mW Perception Chip with Near-Sensor Processing Scheme for Low-Power AIoT Applications.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Energy-efficient Analog Processing Architecture for Direction of Arrival with Microphone Array.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Design of Switched-Current Based Low-Power PIM Vision System for IoT Applications.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

INA: Incremental Network Approximation Algorithm for Limited Precision Deep Neural Networks.
Proceedings of the International Conference on Computer-Aided Design, 2019

Concrete: A Per-layer Configurable Framework for Evaluating DNN with Approximate Operators.
Proceedings of the IEEE International Conference on Acoustics, 2019

A Hybrid Multi-objective Algorithm for Energy-Efficient Scheduling Considering Machine Maintenance<sup>*</sup>.
Proceedings of the 15th IEEE International Conference on Automation Science and Engineering, 2019

Data Driven Scheduling Knowledge Management for Smart Shop Floor.
Proceedings of the 15th IEEE International Conference on Automation Science and Engineering, 2019

2018
A 4-Channel 12-Bit High-Voltage Radiation-Hardened Digital-to-Analog Converter for Low Orbit Satellite Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

High linearity source-follower buffer based analog memory for analog convolutional neural network.
Microelectron. J., 2018

Design of FPGA-Based Accelerator for Convolutional Neural Network under Heterogeneous Computing Framework with OpenCL.
Int. J. Reconfigurable Comput., 2018

Interactive Hand Pose Estimation: Boosting accuracy in localizing extended finger joints.
Proceedings of the Visual Information Processing and Communication IX, Burlingame, CA, USA, 28 January 2018, 2018

Energy-Efficient SRAM Design with Data-Aware Dual-Modes L0T Storage Cell for CNN Processors.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Real Manufacturing Oriented Data Process Techniques with Domain Knowledge.
Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, 2018

Energy-efficient MFCC extraction architecture in mixed-signal domain for automatic speech recognition.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

Energy Efficient ApproxSIFT Implementation for Image Mosaic with Approximate Computing Technologies.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

Design of Approximate FFT with Bit-width Selection Algorithms.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

DS-SLAM: A Semantic Visual SLAM towards Dynamic Environments.
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018

MINTIN: Maxout-Based and Input-Normalized Transformation Invariant Neural Network.
Proceedings of the 2018 IEEE International Conference on Image Processing, 2018

Approximate On-chip Memory Optimization Method For Deep Residual Networks.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

Calibrating process variation at system level with in-situ low-precision transfer learning for analog neural network processors.
Proceedings of the 55th Annual Design Automation Conference, 2018

Equipment health assessment and fault-early warning algorithm based on improved SVDD.
Proceedings of the 14th IEEE International Conference on Automation Science and Engineering, 2018

Mechanical strain and temperature aware design methodology for thin-film transistor based pseudo-CMOS logic array.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

CMOS Image Sensor Data-Readout Method for Convolutional Operations with Processing Near Sensor Architecture.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Multistage Latency Adders Architecture Employing Approximate Computing.
J. Circuits Syst. Comput., 2017

Multi-robot coordination for high-speedpick-and-place tasks.
Proceedings of the 2017 IEEE International Conference on Robotics and Biomimetics, 2017

AIsim: Functional Simulator for Analog-to-Information Perceptual Systems.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

AICNN: Implementing Typical CNN Algorithms with Analog-to-Information Conversion Architecture.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

An 8b 0.8kS/s configurable VCO-based ADC using oxide TFTs with Inkjet printing interconnection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

From "MISSION: IMPOSSIBLE" to mission possible: Fully flexible intelligent contact lens for image classification with analog-to-information processing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Region ensemble network: Improving convolutional network for hand pose estimation.
Proceedings of the 2017 IEEE International Conference on Image Processing, 2017

Evaluating Data Resilience in CNNs from an Approximate Memory Perspective.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

An improved genetic algorithm for a parallel machine scheduling problem with energy consideration.
Proceedings of the 13th IEEE Conference on Automation Science and Engineering, 2017

2016
Approximate Radix-8 Booth Multipliers for Low-Power and High-Performance Operation.
IEEE Trans. Computers, 2016

Approximate Computing of Remotely Sensed Data: SVM Hyperspectral Image Classification as a Case Study.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2016

A priority-based selective bit dropping strategy to reduce DRAM and SRAM power in image processing.
IEICE Electron. Express, 2016

Approximate Adder with Hybrid Prediction and Error Compensation Technique.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

A Real-Time and Energy-Efficient Implementation of Difference-of-Gaussian with Flexible Thin-Film Transistors.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

A Multi-accuracy-Level Approximate Memory Architecture Based on Data Significance Analysis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

An ultra-fast and low-power design of analog circuit network for DoG pyramid construction of SIFT algorithm.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

A precision-improved processing architecture of physical computing for energy-efficient SIFT feature extraction.
Proceedings of the 2016 IEEE International Conference on Acoustics, 2016

Learning-based dynamic scheduling of semiconductor manufacturing system.
Proceedings of the IEEE International Conference on Automation Science and Engineering, 2016

2015
基于BP神经网络的就业招聘企业客户分类问题研究 (Customer Classification Model of Employers by Using BP Neural Networks).
计算机科学, 2015

A general scheme for noise-tolerant logic design based on probabilistic and DCVS approaches.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

Physical computing circuit with no clock to establish Gaussian pyramid of SIFT algorithm.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Approximate Computing in Chrominance Cache for Image/Video Processing.
Proceedings of the 2015 IEEE International Conference on Multimedia Big Data, BigMM 2015, 2015

Design methodology for approximate accumulator based on statistical error model.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Low-complexity video encoder for smart eyes based on underdetermined blind signal separation.
CoRR, 2014

Physical Computing With No Clock to Implement the Gaussian Pyramid of SIFT Algorithm.
CoRR, 2014

Cloud manufacturing application in semiconductor industry.
Proceedings of the 2014 Winter Simulation Conference, 2014

Parallel simulation-based optimization on scheduling of a semiconductor manufacturing system.
Proceedings of the 2014 Winter Simulation Conference, 2014

A single channel, 6-bit 410-ms/s asynchronous SAR ADC based on 3bits/stage.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

Design of multi-stage latency adders using detection and sequence-dependence between successive calculations.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

The research and application of a dynamic dispatching strategy selection approach based on BPSO-SVM for semiconductor production line.
Proceedings of 11th IEEE International Conference on Networking, Sensing and Control, 2014

Hardware acceleration with pipelined adder for Support Vector Machine classifier.
Proceedings of the Fourth International Conference on Digital Information and Communication Technology and its Applicationsm DICTAP 2014, 2014

Cost and energy consumption collaborative optimization for sintering burdening in iron and steel enterprise.
Proceedings of the 2014 IEEE International Conference on Automation Science and Engineering, 2014

2013
A Petri Net and Extended Genetic Algorithm Combined Scheduling Method for Wafer Fabrication.
IEEE Trans Autom. Sci. Eng., 2013

Adaptive Dispatching Rule for Semiconductor Wafer Fabrication Facility.
IEEE Trans Autom. Sci. Eng., 2013

A novel memetic algorithm and its application to data clustering.
Memetic Comput., 2013

Design Methodology of the Heterogeneous Multi-core Processor With the Combination of Parallelized Multi-core Simulator and Common Register File-Based Instruction Set Extension Architecture.
J. Comput., 2013

A novel redundant pipelined successive approximation register ADC.
IEICE Electron. Express, 2013

Increasing Compression Ratio of Low Complexity Compressive Sensing Video Encoder with Application-Aware Configurable Mechanism.
CoRR, 2013

A Novel Reconfigurable Computing Architecture for Image Signal Processing Using Circuit-Switched NoC and Synchronous Dataflow Model.
CoRR, 2013

Design of variable latency adder based on present and transitional states prediction.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

A Novel Video Compression Method Based on Underdetermined Blind Source Separation.
Proceedings of the Multimedia and Ubiquitous Engineering, 2013

Attribute selection algorithm of data-based scheduling strategy for semiconductor manufacturing.
Proceedings of the 2013 IEEE International Conference on Automation Science and Engineering, 2013

2012
Design and implementation of motion compensator in memory reduced HDTV decoder with embedded compression engine.
Multim. Tools Appl., 2012

A "Near-the-Best" System-Level Design Methodology of Multi-Core H.264 Video Decoder Based on the Parallelized Multi-Core Simulator.
J. Circuits Syst. Comput., 2012

Balanced Switching Schemes for Gradient-Error Compensation in Current-Steering DACs.
IEICE Trans. Electron., 2012

A Novel Video Compression Approach Based on Underdetermined Blind Source Separation
CoRR, 2012

Single Machine Oriented Match-Up Rescheduling Method for Semiconductor Manufacturing System.
Proceedings of the Intelligent Robotics and Applications - 5th International Conference, 2012

A novel memetic algorithm based on the comprehensive learning PSO.
Proceedings of the IEEE Congress on Evolutionary Computation, 2012

2011
Low-Power Off-Chip Memory Design for Video Decoder Using Embedded Bus-Invert Coding.
Proceedings of the 10th International Symposium on Autonomous Decentralized Systems, 2011

System-Level Evaluation of Video Processing System Using SimpleScalar-Based Multi-core Processor Simulator.
Proceedings of the 10th International Symposium on Autonomous Decentralized Systems, 2011

2010
A fault-tolerant structure for reliable multi-core systems based on hardware-software co-design.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Existences of two solutions of one-dimensional computational verb cellular networks.
Proceedings of the Sixth International Conference on Natural Computation, 2010

2009
Ga based approach for optimized scheduling in a semiconductor wafer fabrication.
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation, 2009

ACO-based scheduling of parallel batch processing machines to minimize the total weighted tardiness.
Proceedings of the IEEE Conference on Automation Science and Engineering, 2009

2008
Implementation of low-swing differential interface circuits for high-speed on-chip asynchronous interconnection.
Sci. China Ser. F Inf. Sci., 2008

An Improved Genetic Algorithm for Vehicle Routing Problem with Time-Window.
Proceedings of the 2008 International Symposium on Computer Science and Computational Technology, 2008

The impact of the qual-run requirements of APC on the scheduling performance in semiconductor manufacturing.
Proceedings of the 2008 IEEE International Conference on Automation Science and Engineering, 2008

ACO-based scheduling for a single Batch Processing Machine in semiconductor manufacturing.
Proceedings of the 2008 IEEE International Conference on Automation Science and Engineering, 2008

ACO-Based Scheduling of Parallel Batch Processing Machines with Incompatible Job Families to Minimize Total Weighted Tardiness.
Proceedings of the Ant Colony Optimization and Swarm Intelligence, 2008

2007
A Lot Dispatching Strategy Integrating WIP Management and Wafer Start Control.
IEEE Trans Autom. Sci. Eng., 2007

Modified Conditional-Precharge Sense-amplifier-Based Flip-Flop with Improved Speed.
J. Circuits Syst. Comput., 2007

2006
6.33mW MPEG audio decoding on a multimedia processor.
Proceedings of the 2006 IEEE International Solid State Circuits Conference, 2006

Scheduling Semiconductor Wafer Fabrication with Optimization of Multiple objectives.
Proceedings of the 2006 IEEE International Conference on Automation Science and Engineering, 2006

2004
The research on dispatching rule for improving on-time delivery for semiconductor wafer fab.
Proceedings of the 8th International Conference on Control, 2004

The new method of dynamic scheduling in semiconductor fabrication line.
Proceedings of the 8th International Conference on Control, 2004


  Loading...