Amro Awad

Orcid: 0000-0003-3987-463X

According to our database1, Amro Awad authored at least 70 papers between 2014 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Salus: Efficient Security Support for CXL-Expanded GPU Memory.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2023
HMT: A Hardware-centric Hybrid Bonsai Merkle Tree Algorithm for High-performance Authentication.
ACM Trans. Embed. Comput. Syst., July, 2023

Understanding and Characterizing Side Channels Exploiting Phase-Change Memories.
IEEE Micro, 2023

CryptoMMU: Enabling Scalable and Secure Access Control of Third-Party Accelerators.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

SS-AXI: Secure and Safe Access Control Mechanism for Multi-Tenant Cloud FPGAs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

ERAS: A Flexible and Scalable Framework for Seamless Integration of RTL Models with Structural Simulation Toolkit.
Proceedings of the IEEE International Symposium on Workload Characterization, 2023

Thoth: Bridging the Gap Between Persistently Secure Memories and Memory Interfaces of Emerging NVMs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

D-Shield: Enabling Processor-side Encryption and Integrity Verification for Secure NVMe Drives.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

Plutus: Bandwidth-Efficient Memory Security for GPUs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

2022
ARES: Persistently Secure Non-Volatile Memory with Processor-transparent and Hardware-friendly Integrity Verification and Metadata Recovery.
ACM Trans. Embed. Comput. Syst., 2022

DirectNVM: Hardware-accelerated NVMe SSDs for High-performance Embedded Computing.
ACM Trans. Embed. Comput. Syst., 2022

Phoenix: Towards Ultra-Low Overhead, Recoverable, and Persistently Secure NVM.
IEEE Trans. Dependable Secur. Comput., 2022

ShellCore: Automating Malicious IoT Software Detection Using Shell Commands Representation.
IEEE Internet Things J., 2022

FAT-PIM: Low-Cost Error Detection for Processing-In-Memory.
CoRR, 2022

Understanding Internet of Things malware by analyzing endpoints in their static artifacts.
Comput. Networks, 2022

A Keylogging Inference Attack on Air-Tapping Keyboards in Virtual Environments.
Proceedings of the IEEE Conference on Virtual Reality and 3D User Interfaces, 2022

Transpose-xen: virtualized mixed-criticality through dynamic allocation.
Proceedings of the SAC '22: The 37th ACM/SIGAPP Symposium on Applied Computing, Virtual Event, April 25, 2022

Horus: Persistent Security for Extended Persistence-Domain Memory Systems.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Eris: Fault Injection and Tracking Framework for Reliability Analysis of Open-Source Hardware.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022

Minerva: Rethinking Secure Architectures for the Era of Fabric-Attached Memory Architectures.
Proceedings of the 2022 IEEE International Parallel and Distributed Processing Symposium, 2022

ATHENA: Enabling Codesign for Next-Generation AI/ML Architectures.
Proceedings of the IEEE International Conference on Rebooting Computing, 2022

Filesystem Encryption or Direct-Access for NVM Filesystems? Let's Have Both!
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Adaptive Security Support for Heterogeneous Memory on GPUs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Towards resilient analog in-memory deep learning via data layout re-organization.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

PR Crisis: Analyzing and Fixing Partial Reconfiguration in Multi-Tenant Cloud FPGAs.
Proceedings of the 2022 Workshop on Attacks and Solutions in Hardware Security, 2022

High-Fidelity Model Extraction Attacks via Remote Power Monitors.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Towards Low-Cost Mechanisms to Enable Restoration of Encrypted Non-Volatile Memories.
IEEE Trans. Dependable Secur. Comput., 2021

Caching Techniques for Security Metadata in Integrity-Protected Fabric-Attached Memories.
EAI Endorsed Trans. Security Safety, 2021

Resilient and Secure Hardware Devices Using ASL.
ACM J. Emerg. Technol. Comput. Syst., 2021

ShellCore: Automating Malicious IoT Software Detection by Using Shell Commands Representation.
CoRR, 2021

VR-Spy: A Side-Channel Attack on Virtual Key-Logging in VR Headsets.
Proceedings of the IEEE Virtual Reality and 3D User Interfaces, 2021

Seeds of SEED: R-SAW: New Side Channels Exploiting Read Asymmetry in MLC Phase Change Memories.
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021

Soteria: Towards Resilient Integrity-Protected and Encrypted Non-Volatile Memories.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Dolos: Improving the Performance of Persistent Applications in ADR-Supported Secure Memory.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

LADDER: Architecting Content and Location-aware Writes for Crossbar Resistive Memories.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

NASGuard: A Novel Accelerator Architecture for Robust Neural Architecture Search (NAS) Networks.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

ProMT: optimizing integrity tree updates for write-intensive pages in secure NVMs.
Proceedings of the ICS '21: 2021 International Conference on Supercomputing, 2021

Punchcard: A Practical Red-Zone Based Scheme for Low-Overhead Heap Protection.
Proceedings of the 2021 IEEE 23rd Int Conf on High Performance Computing & Communications; 7th Int Conf on Data Science & Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, 2021

DeACT: Architecture-Aware Virtual Memory Support for Fabric Attached Memory Systems.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

Stealth-Persist: Architectural Support for Persistent Applications in Hybrid Memory Systems.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

HERMES: Hardware-Efficient Speculative Dataflow Architecture for Bonsai Merkle Tree-Based Memory Authentication.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2021

PiPoMonitor: Mitigating Cross-core Cache Attacks Using the Auto-Cuckoo Filter.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
MRIMA: An MRAM-Based In-Memory Accelerator.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Guest Editors' Introduction to the Special Issue on Hardware Security.
IEEE Trans. Computers, 2020

A Lightweight Memory Access Pattern Obfuscation Framework for NVM.
IEEE Comput. Archit. Lett., 2020

Inter-task cache interference aware partitioned real-time scheduling.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020

PreFAM: Understanding the Impact of Prefetching in Fabric-Attached Memory Architectures.
Proceedings of the MEMSYS 2020: The International Symposium on Memory Systems, 2020

Lelantus: Fine-Granularity Copy-On-Write Operations for Secure Non-Volatile Memories.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

2019
Analyzing and Detecting Emerging Internet of Things Malware: A Graph-Based Approach.
IEEE Internet Things J., 2019

Phoenix: Towards Persistently Secure, Recoverable, and NVM Friendly Tree of Counters.
CoRR, 2019

Are Crossbar Memories Secure? New Security Vulnerabilities in Crossbar Memories.
IEEE Comput. Archit. Lett., 2019

Page migration support for disaggregated non-volatile memories.
Proceedings of the International Symposium on Memory Systems, 2019

Investigating Fairness in Disaggregated Non-Volatile Memories.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Persistently-Secure Processors: Challenges and Opportunities for Securing Non-Volatile Memories.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Quantifying Performance Determinism in Virtualized Mixed-Criticality Systems.
Proceedings of the IEEE 22nd International Symposium on Real-Time Distributed Computing, 2019

Anubis: ultra-low overhead and recovery time for secure non-volatile memories.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Triad-NVM: persistency for integrity-protected and encrypted non-volatile memories.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Diligent TLBs: a mechanism for exploiting heterogeneity in TLB miss behavior.
Proceedings of the ACM International Conference on Supercomputing, 2019

2018
Triad-NVM: Persistent-Security for Integrity-Protected and Encrypted Non-Volatile Memories (NVMs).
CoRR, 2018

Exploring Allocation Policies in Disaggregated Non-Volatile Memories.
Proceedings of the Workshop on Memory Centric High Performance Computing, 2018

Osiris: A Low-Cost Mechanism to Enable Restoration of Secure Non-Volatile Memories.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

2017
Performance analysis for using non-volatile memory DIMMs: opportunities and challenges.
Proceedings of the International Symposium on Memory Systems, 2017

Clone morphing: Creating new workload behavior from existing applications.
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017

ObfusMem: A Low-Overhead Access Obfuscation for Trusted Memories.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

Avoiding TLB Shootdowns Through Self-Invalidating TLB Entries.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

2016
Accurate Cloning of the Memory Access Behavior.
IPSJ Trans. Syst. LSI Des. Methodol., 2016

Write-Aware Management of NVM-based Memory Extensions.
Proceedings of the 2016 International Conference on Supercomputing, 2016

Silent Shredder: Zero-Cost Shredding for Secure Non-Volatile Main Memory Controllers.
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016

2015
Non-volatile memory host controller interface performance analysis in high-performance I/O systems.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

2014
STM: Cloning the spatial and temporal memory access behavior.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014


  Loading...