Sumit Kumar Jha

Orcid: 0000-0003-0354-2940

Affiliations:
  • University of Texas San Antonio, TX, USA
  • University of Central Florida, Orlando, USA (former)
  • Carnegie Mellon University, Pittsburgh, PA, USA (former)
  • Virginia Commonwealth University, Richmond, VA, USA (former)


According to our database1, Sumit Kumar Jha authored at least 116 papers between 2005 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
STREAM: Toward READ-Based In-Memory Computing for Streaming-Based Processing for Data-Intensive Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Automaton Distillation: Neuro-Symbolic Transfer Learning for Deep Reinforcement Learning.
CoRR, 2023

Neuro Symbolic Reasoning for Planning: Counterexample Guided Inductive Synthesis using Large Language Models and Satisfiability Solving.
CoRR, 2023

Neural Stochastic Differential Equations for Robust and Explainable Analysis of Electromagnetic Unintended Radiated Emissions.
CoRR, 2023

On the Robustness of AlphaFold: A COVID-19 Case Study.
CoRR, 2023

Adversarial Pixel and Patch Detection Using Attribution Analysis.
Proceedings of the IEEE Military Communications Conference, 2023

Counterexample Guided Inductive Synthesis Using Large Language Models and Satisfiability Solving.
Proceedings of the IEEE Military Communications Conference, 2023

Neural SDEs for Robust and Explainable Analysis of Electromagnetic Unintended Radiated Emissions.
Proceedings of the IEEE Military Communications Conference, 2023

Input-Aware Flow-Based In-Memory Computing.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

Verification of Flow-Based Computing Systems Using Bounded Model Checking.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Path-Based Processing using In-Memory Systolic Arrays for Accelerating Data-Intensive Applications.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Automated Synthesis for In-Memory Computing.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Dehallucinating Large Language Models Using Formal Methods Guided Iterative Prompting.
Proceedings of the IEEE International Conference on Assured Autonomy, 2023

UpTime: Towards Flow-based In-Memory Computing with High Fault-Tolerance.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

FLOW-3D: Flow-Based Computing on 3D Nanoscale Crossbars with Minimal Semiperimeter.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

Discovering the in-Memory Kernels of 3D Dot-Product Engines.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
XMAP: Programming Memristor Crossbars for Analog Matrix-Vector Multiplication: Toward High Precision Using Representable Matrices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

COMPACT: Flow-Based Computing on Nanoscale Crossbars With Minimal Semiperimeter and Maximum Dimension.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A survey on the vulnerability of deep neural networks against adversarial attacks.
Prog. Artif. Intell., 2022

FAT-PIM: Low-Cost Error Detection for Processing-In-Memory.
CoRR, 2022

ExplainIt!: A Tool for Computing Robust Attributions of DNNs.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

Equivalence Checking for Flow-Based Computing.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

Logic Synthesis for Digital In-Memory Computing.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Attribution-Based Confidence Metric for Detection of Adversarial Attacks on Breast Histopathological Images.
Proceedings of the Computer Vision - ECCV 2022 Workshops, 2022

Hybrid Digital-Digital In-Memory Computing.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

PATH: evaluation of boolean logic using path-based in-memory computing.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Towards resilient analog in-memory deep learning via data layout re-organization.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

STREAM: Towards READ-based In-Memory Computing for Streaming based Data Processing.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

Deep Learning Toolkit-Driven Equivalence Checking of Flow-Based Computing Systems.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

Shaping Noise for Robust Attributions in Neural Stochastic Differential Equations.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

2021
Investigation of ReRAM Variability on Flow-Based Edge Detection Computing Using HfO<sub>2</sub>-Based ReRAM Arrays.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Special Issue: 10th International Computational Advances in Bio and Medical Sciences (ICCABS 2020).
J. Comput. Biol., 2021

Protein Folding Neural Networks Are Not Robust.
CoRR, 2021

CrossedWires: A Dataset of Syntactically Equivalent but Semantically Disparate Deep Learning Models.
CoRR, 2021

Adversarial Attacks against AI-driven Experimental Peptide Design Workflows.
Proceedings of the 3rd Annual Workshop on Extreme-scale Experiment-in-the-Loop Computing, 2021

Automated Synthesis of Quantum Circuits Using Symbolic Abstractions and Decision Procedures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

On Smoother Attributions using Neural Stochastic Differential Equations.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

Hybrid Analog-Digital In-Memory Computing.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Accelerating AI Applications using Analog In-Memory Computing: Challenges and Opportunities.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

COMPACT: Flow-Based Computing on Nanoscale Crossbars with Minimal Semiperimeter.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

2020
Design and Fabrication of Flow-Based Edge Detection Memristor Crossbar Circuits<sup>1</sup>.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

Attacking NIST biometric image software using nonlinear optimization.
Pattern Recognit. Lett., 2020

An Extension of Fano's Inequality for Characterizing Model Susceptibility to Membership Inference Attacks.
CoRR, 2020

Quantifying Membership Inference Vulnerability via Generalization Gap and Other Model Metrics.
CoRR, 2020

DP-MAP: Towards Resistive Dot-Product Engines with Improved Precision.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Automated Synthesis of Memristor Crossbars Using Deep Neural Networks.
Proceedings of the Intelligent Data Engineering and Analytics, 2020

Adversarial Attack on Deepfake Detection Using RL Based Texture Patches.
Proceedings of the Computer Vision - ECCV 2020 Workshops, 2020

Detecting Deepfake Videos using Attribution-Based Confidence Metric.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

2019
Input-Aware Flow-Based Computing on Memristor Crossbars With Applications to Edge Detection.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2019

Attribution-driven Causal Analysis for Detection of Adversarial Examples.
CoRR, 2019

Attribution-Based Confidence Metric For Deep Neural Networks.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

On the Susceptibility of Deep Neural Networks to Natural Perturbations.
Proceedings of the Workshop on Artificial Intelligence Safety 2019 co-located with the 28th International Joint Conference on Artificial Intelligence, 2019

Predicting Heart Rate Variations of Deepfake Videos using Neural ODE.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision Workshops, 2019

Directed Adversarial Attacks on Fingerprints using Attributions.
Proceedings of the 2019 International Conference on Biometrics, 2019

2018
Free Binary Decision Diagram-Based Synthesis of Compact Crossbars for In-Memory Computing.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Brief Announcement: Parallel Transitive Closure Within 3D Crosspoint Memory.
Proceedings of the 30th on Symposium on Parallelism in Algorithms and Architectures, 2018

Free BDD based CAD of Compact Memristor Crossbars for in-Memory Computing.
Proceedings of the 14th IEEE/ACM International Symposium on Nanoscale Architectures, 2018

Predicting Success in Undergraduate Parallel Programming via Probabilistic Causality Analysis.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

3D Crosspoint Memory as a Parallel Architecture for Computing Network Reachability.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

Parameter Estimation of Stochastic Biochemical Models using Multiple Hypothesis Testing.
Proceedings of the 8th IEEE International Conference on Computational Advances in Bio and Medical Sciences, 2018

Duality-Based Nested Controller Synthesis from STL Specifications for Stochastic Linear Systems.
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2018

In-memory computing using paths-based logic and heterogeneous components.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Calibration of Rule-Based Stochastic Biochemical Models using Statistical Model Checking.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2018

2017
A theorem proving approach for automatically synthesizing visualizations of flow cytometry data.
BMC Bioinform., 2017

A compact 8-bit adder design using in-memory memristive computing: Towards solving the Feynman Grand Prize challenge.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2017

Computation of Boolean matrix chain products in 3D ReRAM.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Design of compact memristive in-memory computing systems using model counting.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

In-Memory Execution of Compute Kernels Using Flow-Based Memristive Crossbar Computing.
Proceedings of the IEEE International Conference on Rebooting Computing, 2017

Calibration of stochastic biochemical models against behavioral temporal logic specifications.
Proceedings of the 7th IEEE International Conference on Computational Advances in Bio and Medical Sciences, 2017

Adversarial attacks on computer vision algorithms using natural perturbations.
Proceedings of the Tenth International Conference on Contemporary Computing, 2017

<i>SATYA</i> : Defending Against Adversarial Attacks Using Statistical Hypothesis Testing.
Proceedings of the Foundations and Practice of Security - 10th International Symposium, 2017

Testing autonomous cyber-physical systems using fuzzing features from convolutional neural networks: work-in-progress.
Proceedings of the Thirteenth ACM International Conference on Embedded Software 2017 Companion, 2017

Automated synthesis of compact crossbars for sneak-path based in-memory computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
The cardinality-constrained paths problem: Multicast data routing in heterogeneous communication networks.
Proceedings of the 15th IEEE International Symposium on Network Computing and Applications, 2016

Parallel boolean matrix multiplication in linear time using rectifying memristors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Automated synthesis of stochastic computational elements using decision procedures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Flow-based computing on nanoscale crossbars: Design and implementation of full adders.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Integrating symbolic and statistical methods for testing intelligent systems: Applications to machine learning and computer vision.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Automated parameter estimation for biological models using Bayesian statistical model checking.
BMC Bioinform., December, 2015

Distributed Markov Chains.
Proceedings of the Verification, Model Checking, and Abstract Interpretation, 2015

Automated synthesis of crossbars for nanoscale computing using formal methods.
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

Fault-tolerant in-memory crossbar computing using quantified constraint solving.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

SANJAY: Automatically synthesizing visualizations of flow cytometry data using decision procedures.
Proceedings of the 5th IEEE International Conference on Computational Advances in Bio and Medical Sciences, 2015

2014
Parameter discovery in stochastic biological models using simulated annealing and statistical model checking.
Int. J. Bioinform. Res. Appl., 2014

Discovering rare behaviours in stochastic differential equations using decision procedures: applications to a minimal cell cycle model.
Int. J. Bioinform. Res. Appl., 2014

Distributed Markov Chains.
CoRR, 2014

Parallel computing using memristive crossbar networks: Nullifying the processor-memory bottleneck.
Proceedings of the 9th International Design and Test Symposium, 2014

Putting humpty-dumpty together: Mining causal mechanistic biochemical models from big data.
Proceedings of the IEEE 4th International Conference on Computational Advances in Bio and Medical Sciences, 2014

EpiSpec: A formal specification language for parameterized agent-based models against epidemiological ground truth.
Proceedings of the IEEE 4th International Conference on Computational Advances in Bio and Medical Sciences, 2014

Parameter discovery for stochastic computational models in systems biology using Bayesian model checking.
Proceedings of the IEEE 4th International Conference on Computational Advances in Bio and Medical Sciences, 2014

2012
Human tracking from a mobile agent: Optical flow and Kalman filter arbitration.
Signal Process. Image Commun., 2012

Synthesis of insulin pump controllers from safety specifications using Bayesian model validation.
Int. J. Bioinform. Res. Appl., 2012

Exploring behaviors of stochastic differential equation models of biological systems using change of measures.
BMC Bioinform., 2012

Parameter discovery for stochastic biological models against temporal behavioral specifications using an SPRT based Metric for simulated annealing.
Proceedings of the IEEE 2nd International Conference on Computational Advances in Bio and Medical Sciences, 2012

Decision procedure based discovery of rare behaviors in Stochastic Differential Equation models of biological systems.
Proceedings of the IEEE 2nd International Conference on Computational Advances in Bio and Medical Sciences, 2012

A computational metabolic model of the NG108-15 cell for high content drug screening with electrophysiological readout.
Proceedings of the ACM International Conference on Bioinformatics, 2012

2011
Synthesis and infeasibility analysis for stochastic models of biochemical systems using statistical model checking and abstraction refinement.
Theor. Comput. Sci., 2011

Poster: Synthesis of biochemical models.
Proceedings of the IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences, 2011

Exploring behaviors of SDE models of biological systems using change of measures.
Proceedings of the IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences, 2011

When to stop verification?: Statistical trade-off between expected loss and simulation cost.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Model Validation and Discovery for Complex Stochastic Systems.
PhD thesis, 2010

2009
Symbolic Approaches for Finding Control Strategies in Boolean Networks.
J. Bioinform. Comput. Biol., 2009

A Bayesian Approach to Model Checking Biological Systems.
Proceedings of the Computational Methods in Systems Biology, 7th International Conference, 2009

2008
d-IRA: A Distributed Reachability Algorithm for Analysis of Linear Hybrid Automata.
Proceedings of the Hybrid Systems: Computation and Control, 11th International Workshop, 2008

A Counterexample-Guided Approach to Parameter Synthesis for Linear Hybrid Automata.
Proceedings of the Hybrid Systems: Computation and Control, 11th International Workshop, 2008

Random Relaxation Abstractions for Bounded Reachability Analysis of Linear Hybrid Automata: Distributed Randomized Abstractions in Model Checking.
Proceedings of the 11th IEEE High Assurance Systems Engineering Symposium, 2008

Randomization Based Probabilistic Approach to Detect Trojan Circuits.
Proceedings of the 11th IEEE High Assurance Systems Engineering Symposium, 2008

Statistical Model Checking in BioLab: Applications to the Automated Analysis of T-Cell Receptor Signaling Pathway.
Proceedings of the Computational Methods in Systems Biology, 6th International Conference, 2008

2007
Design of a Distributed Reachability Algorithm for Analysis of Linear Hybrid Automata
CoRR, 2007

Predicting Protein Folding Kinetics Via Temporal Logic Model Checking.
Proceedings of the Algorithms in Bioinformatics, 7th International Workshop, 2007

Verification of Object Relational Maps.
Proceedings of the Fifth IEEE International Conference on Software Engineering and Formal Methods (SEFM 2007), 2007

Reachability for Linear Hybrid Automata Using Iterative Relaxation Abstraction.
Proceedings of the Hybrid Systems: Computation and Control, 10th International Workshop, 2007

2006
Numerical Simulation guided Lazy Abstraction Refinement for Nonlinear Hybrid Automata
CoRR, 2006

Model Checking For Fault Explanation.
Proceedings of the 45th IEEE Conference on Decision and Control, 2006

2005
Refining Abstractions of Hybrid Systems Using Counterexample Fragments.
Proceedings of the Hybrid Systems: Computation and Control, 8th International Workshop, 2005

Temporal Logic Model Checking.
Proceedings of the Handbook of Networked and Embedded Control Systems, 2005


  Loading...