Jordi Cortadella

Orcid: 0000-0001-8114-250X

Affiliations:
  • Polytechnic University of Catalonia, Barcelona, Spain


According to our database1, Jordi Cortadella authored at least 189 papers between 1988 and 2023.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2015, "For contributions to the design of asynchronous and elastic circuits".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Mix & Latch: An Optimization Flow for High-Performance Designs With Single-Clock Mixed-Polarity Latches and Flip-Flops.
IEEE Access, 2023

Eliminating Excessive Dynamism of Dataflow Circuits Using Model Checking.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

Seto: A Framework for the Decomposition of Petri Nets and Transition Systems.
Proceedings of the 26th Euromicro Conference on Digital System Design, 2023

2022
Buffer Placement and Sizing for High-Performance Dataflow Circuits.
ACM Trans. Reconfigurable Technol. Syst., 2022

Fast Energy-Optimal Multikernel DNN-Like Application Allocation on Multi-FPGA Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Decomposition of transition systems into sets of synchronizing Free-choice Petri Nets.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

2021
Multilevel Dataflow-Driven Macro Placement Guided by RTL Structure and Analytical Methods.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

CNN-on-AWS: Efficient Allocation of Multikernel Applications on Multi-FPGA Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Decomposition of transition systems into sets of synchronizing state machines.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

2020
Power-Optimal Mapping of CNN Applications to Cloud-Based Multi-FPGA Platforms.
IEEE Trans. Circuits Syst., 2020

Support-Reducing Decomposition for FPGA Mapping.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Automatic Safe Data Reuse Detection for the WCET Analysis of Systems With Data Caches.
IEEE Access, 2020

Transistor Placement for Automatic Cell Synthesis through Boolean Satisfiability.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Computing the full quotient in bi-decomposition by approximation.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Corrections to "Jutge.org: Characteristics and Experiences".
IEEE Trans. Learn. Technol., 2019

RTL-Aware Dataflow-Driven Macro Placement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Exact and Heuristic Allocation of Multi-kernel Applications to Multi-FPGA Platforms.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

Synthesis from Waveform Transition Graphs.
Proceedings of the 25th IEEE International Symposium on Asynchronous Circuits and Systems, 2019

From Nets to Circuits and from Circuits to Nets.
Proceedings of the Carl Adam Petri: Ideas, Personality, Impact, 2019

2018
Jutge.org: Characteristics and Experiences.
IEEE Trans. Learn. Technol., 2018

State-Based Encoding of Large Asynchronous Controllers.
IEEE Access, 2018

State Encoding of Asynchronous Controllers Using Pseudo-Boolean Optimization.
Proceedings of the 24th IEEE International Symposium on Asynchronous Circuits and Systems, 2018

2017
Voltage Noise Analysis with Ring Oscillator Clocks.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Under-the-Cell Routing to Improve Manufacturability.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Boolean Decomposition for AIG Optimization.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Synthesis of All-Digital Delay Lines.
Proceedings of the 23rd IEEE International Symposium on Asynchronous Circuits and Systems, 2017

Waveform Transition Graphs: A Designer-Friendly Formalism for Asynchronous Behaviours.
Proceedings of the 23rd IEEE International Symposium on Asynchronous Circuits and Systems, 2017

A hierarchical mathematical model for automatic pipelining and allocation using elastic systems.
Proceedings of the 51st Asilomar Conference on Signals, Systems, and Computers, 2017

Process Windows.
Proceedings of the 17th International Conference on Application of Concurrency to System Design, 2017

2016
A Fast and Retargetable Framework for Logic-IP-Internal Electromigration Assessment Comprehending Advanced Waveform Effects.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Mining structured petri nets for the visualization of process behavior.
Proceedings of the 31st Annual ACM Symposium on Applied Computing, 2016

Discovering Duplicate Tasks in Transition Systems for the Simplification of Process Models.
Proceedings of the Business Process Management - 14th International Conference, 2016

Specification Mining for Asynchronous Controllers.
Proceedings of the 22nd IEEE International Symposium on Asynchronous Circuits and Systems, 2016

Ring Oscillator Clocks and Margins.
Proceedings of the 22nd IEEE International Symposium on Asynchronous Circuits and Systems, 2016

2015
SafeRazor: Metastability-Robust Adaptive Clocking in Resilient Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

RTL Synthesis: From Logic Synthesis to Automatic Pipelining.
Proc. IEEE, 2015

Stochastic and topologically aware electromigration analysis for clock skew.
Proceedings of the IEEE International Reliability Physics Symposium, 2015

Reactive clocks with variability-tracking jitter.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Log-Based Simplification of Process Models.
Proceedings of the Business Process Management - 13th International Conference, 2015

A retargetable and accurate methodology for logic-IP-internal electromigration assessment.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Process Discovery Algorithms Using Numerical Abstract Domains.
IEEE Trans. Knowl. Data Eng., 2014

A Boolean Rule-Based Approach for Manufacturability-Aware Cell Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

A hierarchical approach for generating regular floorplans.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Hardware primitives for the synthesis of multithreaded elastic systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Metastability in Better-Than-Worst-Case Designs.
Proceedings of the 20th IEEE International Symposium on Asynchronous Circuits and Systems, 2014

2013
Architectural Exploration of Large-Scale Hierarchical Chip Multiprocessors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Area-Optimal Transistor Folding for 1-D Gridded Cell Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Brownian Circuits: Fundamentals.
ACM J. Emerg. Technol. Comput. Syst., 2013

Physical planning for the architectural exploration of large-scale chip multiprocessors.
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013

Physical-aware system-level design for tiled hierarchical chip multiprocessors.
Proceedings of the International Symposium on Physical Design, 2013

2012
Integrating formal verification in an online judge for e-Learning logic circuit design.
Proceedings of the 43rd ACM technical symposium on Computer science education, 2012

Analytical Performance Modeling of Hierarchical Interconnect Fabrics.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

Static Task Mapping for Tiled Chip Multiprocessors with Multiple Voltage Islands.
Proceedings of the Architecture of Computing Systems - ARCS 2012 - 25th International Conference, Munich, Germany, February 28, 2012

2011
Microarchitectural Transformations Using Elasticity.
ACM J. Emerg. Technol. Comput. Syst., 2011

A Scheduling Strategy for Synchronous Elastic Designs.
Fundam. Informaticae, 2011

2010
New Region-Based Algorithms for Deriving Bounded Petri Nets.
IEEE Trans. Computers, 2010

On the Performance Evaluation of Multi-Guarded Marked Graphs with Single-Server Semantics.
Discret. Event Dyn. Syst., 2010

Process Mining Meets Abstract Interpretation.
Proceedings of the Machine Learning and Knowledge Discovery in Databases, 2010

Physical-Aware Link Allocation and Route Assignment for Chip Multiprocessing.
Proceedings of the NOCS 2010, 2010

Elastic systems.
Proceedings of the 8th ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010), 2010

Symbolic performance analysis of elastic systems.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Automatic microarchitectural pipelining.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
Guest Editorial: Special Section on Asynchronous Circuits and Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Elastic Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

A Recursive Paradigm to Solve Boolean Relations.
IEEE Trans. Computers, 2009

A performance analytical model for Network-on-Chip with constant service time routers.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Multi-level clustering for clock skew optimization.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Timing-driven N-way decomposition.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Variable-latency design by function speculation.
Proceedings of the Design, Automation and Test in Europe, 2009

Enabling adaptability through elastic clocks.
Proceedings of the 46th Design Automation Conference, 2009

Speculation in elastic systems.
Proceedings of the 46th Design Automation Conference, 2009

Retiming and recycling for elastic systems with early evaluation.
Proceedings of the 46th Design Automation Conference, 2009

Divide-and-Conquer Strategies for Process Mining.
Proceedings of the Business Process Management, 7th International Conference, 2009

Scheduling Synchronous Elastic Designs.
Proceedings of the Ninth International Conference on Application of Concurrency to System Design, 2009

Genet: A Tool for the Synthesis and Mining of Petri Nets.
Proceedings of the Ninth International Conference on Application of Concurrency to System Design, 2009

2008
Elasticity and Petri Nets.
Trans. Petri Nets Other Model. Concurr., 2008

Encoding Large Asynchronous Controllers With ILP Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Formal methods for the analysis and synthesis of nanometer-scale cellular arrays.
ACM J. Emerg. Technol. Comput. Syst., 2008

Hardware Synthesis for Asynchronous Communications Mechanisms.
Proceedings of the XXVII International Conference of the Chilean Computer Science Society (SCCC 2008), 2008

Correct-by-construction microarchitectural pipelining.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Performance optimization of elastic systems using buffer resizing and buffer insertion.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

A Region-Based Algorithm for Discovering Petri Nets from Event Logs.
Proceedings of the Business Process Management, 6th International Conference, 2008

A Symbolic Algorithm for the Synthesis of Bounded Petri Nets.
Proceedings of the Applications and Theory of Petri Nets, 29th International Conference, 2008

Time elastic digital systems and Petri Nets.
Proceedings of the 8th International Conference on Application of Concurrency to System Design (ACSD 2008), 2008

2007
The octahedron abstract domain.
Sci. Comput. Program., 2007

Automating Synthesis of Asynchronous Communication Mechanisms.
Fundam. Informaticae, 2007

Verification of Concurrent Systems with Parametric Delays Using Octahedra.
Fundam. Informaticae, 2007

Design Automation of Real-Life Asynchronous Devices and Systems.
Found. Trends Electron. Des. Autom., 2007

A general model for performance optimization of sequential systems.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Layout-aware gate duplication and buffer insertion.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Synchronous Elastic Circuits with Early Evaluation and Token Counterflow.
Proceedings of the 44th Design Automation Conference, 2007

A Compositional Method for the Synthesis of Asynchronous Communication Mechanisms.
Proceedings of the Petri Nets and Other Models of Concurrency, 2007

2006
Desynchronization: Synthesis of Asynchronous Circuits From Synchronous Specifications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Synthesis of asynchronous controllers using integer linear programming.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Performance analysis of concurrent systems with early evaluation.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

From molecular interactions to gates: a systematic approach.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Dominator-based partitioning for delay optimization.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

Synchronous Elastic Networks.
Proceedings of the Formal Methods in Computer-Aided Design, 6th International Conference, 2006

Synthesis of synchronous elastic architectures.
Proceedings of the 43rd Design Automation Conference, 2006

State encoding of large asynchronous controllers.
Proceedings of the 43rd Design Automation Conference, 2006

Synchronous Elastic Circuits.
Proceedings of the Computer Science, 2006

2005
Quasi-static scheduling of independent tasks for reactive systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Derivation of Non-structural Invariants of Petri Nets Using Abstract Interpretation.
Proceedings of the Applications and Theory of Petri Nets 2005, 2005

2004
Quasi-static Scheduling for Concurrent Architectures.
Fundam. Informaticae, 2004

Boolean Decomposition Using Two-literal Divisors.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Bridging the Gap between Asynchronous Design and Designers.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Coping with The Variability of Combinational Logic Delays.
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004

From Synchronous to Asynchronous: An Automatic Approach.
Proceedings of the 2004 Design, 2004

Handshake Protocols for De-Synchronization.
Proceedings of the 10th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2004), 2004

Verification of timed circuits with symbolic delays.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Timing-driven logic bi-decomposition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

ILP Models for the Synthesis of Asynchronous Control Circuits.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Quasi-Static Scheduling for Concurrent Architectures.
Proceedings of the 3rd International Conference on Application of Concurrency to System Design (ACSD 2003), 2003

Synthesis of Asynchronous Hardware from Petri Nets.
Proceedings of the Lectures on Concurrency and Petri Nets, 2003

2002
Lazy transition systems and asynchronous circuit synthesis withrelative timing assumptions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Design of Asynchronous Controllers with Delay Insensitive Interface.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

A structural encoding technique for the synthesis of asynchronous circuits.
Fundam. Informaticae, 2002

Logic Design of Asynchronous Circuits (Tutorial Abstract).
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Bi-Decomposition and Tree-Height Reduction for Timing Optimization.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Input/Output Compatibility of Reactive Systems.
Proceedings of the Formal Methods in Computer-Aided Design, 4th International Conference, 2002

A Case Study for the Verification of Complex Timed Circuits: IPCMOS.
Proceedings of the 2002 Design, 2002

Quasi-Static Scheduling of Independent Tasksfor Reactive Systems.
Proceedings of the Applications and Theory of Petri Nets 2002, 2002

Synthesis of Reactive Systems: Application to Asynchronous Circuit Design.
Proceedings of the Concurrency and Hardware Design, Advances in Petri Nets, 2002

2001
Symbolic Analysis of Bounded Petri Nets.
IEEE Trans. Computers, 2001

Asynchronous multipliers with variable-delay counters.
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001

A Multi-Radix Approach to Asynchronous Division.
Proceedings of the 7th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2001), 2001

2000
Task generation and compile-time scheduling for mixed data-control embedded software.
Proceedings of the 37th Conference on Design Automation, 2000

Formal Verification of Safety Properties in Timed Circuits.
Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2000

Hardware and Petri Nets: Application to Asynchronous Circuit Design.
Proceedings of the Application and Theory of Petri Nets 2000, 2000

A Relational View of Subgraph Isomorphism.
Proceedings of the Participants Copies of Fifth International Seminar on Relational Methods in Computer Science, 2000

1999
Decomposition and technology mapping of speed-independent circuits using Boolean relations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999

Logic decomposition of speed-independent circuits.
Proc. IEEE, 1999

Optimal exploration of the unrolling degree for software pipelining.
J. Syst. Archit., 1999

What is the cost of delay insensitivity?
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Synthesis of asynchronous control circuits with automatically generated relative timing assumptions.
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

A Radix-16 SRT Division Unit with Speculation of the Quotient Digits.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

CAD Directions for High Performance Asynchronous Circuits.
Proceedings of the 36th Conference on Design Automation, 1999

Automatic Synthesis and Optimization of Partially Specified Asynchronous Systems.
Proceedings of the 36th Conference on Design Automation, 1999

Behavioral Transformations to Increase Noise Immunity in Asynchronous Specifications.
Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '99), 1999

Structural Methods to Improve the Symbolic Analysis of Petri Nets.
Proceedings of the Application and Theory of Petri Nets 1999, 1999

1998
Working-zone encoding for reducing the energy in microprocessor address buses.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Structural methods for the synthesis of speed-independent circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

Deriving Petri Nets for Finite Transition Systems.
IEEE Trans. Computers, 1998

Reducing Register Pressure in Software Pipelining.
J. Inf. Sci. Eng., 1998

The Use of Petri Nets for the Design and Verification of Asynchronous Circuits and Systems.
J. Circuits Syst. Comput., 1998

Register-Transfer Level Transformations for Low-Power Data-Paths.
Integr. Comput. Aided Eng., 1998

Extension of the working-zone-encoding method to reduce the energy on the microprocessor data bus.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

Lazy transition systems: application to timing optimization of asynchronous circuits.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Efficient Encoding Schemes for Symbolic Analysis of Petri Nets.
Proceedings of the 1998 Design, 1998

Asynchronous Interface Specification, Analysis and Synthesis.
Proceedings of the 35th Conference on Design Automation, 1998

Identifying State Coding Conflicts in Asynchronous System Specifications Using Petri Net Unfoldings.
Proceedings of the 1st International Conference on Application of Concurrency to System Design (ACSD '98), 1998

Combining Structural and Symbolic Methods for the Verification of Concurrent Systems.
Proceedings of the 1st International Conference on Application of Concurrency to System Design (ACSD '98), 1998

1997
A region-based theory for state assignment in speed-independent circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Exploiting the locality of memory references to reduce the address bus energy.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis.
Proceedings of the European Design and Test Conference, 1997

Synthesis of Speed-Independent Circuits from STG-Unfolding Segment.
Proceedings of the 34st Conference on Design Automation, 1997

Automatic Generation of Synchronous Test Patterns for Asynchronous Circuits.
Proceedings of the 34st Conference on Design Automation, 1997

Partial order based approach to synthesis of speed-independent circuits.
Proceedings of the 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 1997

Technology Mapping for Speed-Independent Circuits: Decomposition and Resynthesis.
Proceedings of the 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 1997

Coupling Asynchrony and Interrupts: Place Chart Nets.
Proceedings of the Application and Theory of Petri Nets 1997, 1997

1996
RESIS: A New Methodology for Register Optimization in Software Pipelining.
Proceedings of the Euro-Par '96 Parallel Processing, 1996

Optimizing CMOS Circuits for Low Power Using Transistor Reordering.
Proceedings of the 1996 European Design and Test Conference, 1996

Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis.
Proceedings of the 33st Conference on Design Automation, 1996

Combining process algebras and Petri nets for the specification and synthesis of asynchronous circuits.
Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '96), 1996

Complete state encoding based on the theory of regions.
Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '96), 1996

1995
Scheduling and resource binding for low power.
Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), 1995

High-level synthesis techniques for reducing the activity of functional units.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

Synthesizing Petri nets from state-based models.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

A new look at the conditions for the synthesis of speed-independent circuits.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

Checking signal transition graph implementability by symbolic BDD traversal.
Proceedings of the 1995 European Design and Test Conference, 1995

Hierarchical gate-level verification of speed-independent circuits.
Proceedings of the Second Working Conference on Asynchronous Design Methodologies, 1995

Verification of Asynchronous Circuits by BDD-based Model Checking of Petri Nets.
Proceedings of the Application and Theory of Petri Nets 1995, 1995

1994
High-Radix Division and Square-Root with Speculation.
IEEE Trans. Computers, 1994

Design and Prototyping of Digital Signal Processing (DSP) Systems: Introduction.
Proceedings of the 27th Annual Hawaii International Conference on System Sciences (HICSS-27), 1994

Designing asynchronous circuits from behavioural specifications with internal conflicts.
Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1994

Petri Net Analysis Using Boolean Manipulation.
Proceedings of the Application and Theory of Petri Nets 1994, 1994

1993
Chairmen's introduction.
Microprocess. Microprogramming, 1993

Resource-constrained pipelining based on loop transformations.
Microprocess. Microprogramming, 1993

Session B2: Processor Architecture II.
Microprocess. Microprogramming, 1993

Glass: a graph-theoretical approach for global binding.
Microprocess. Microprogramming, 1993

An Efficient Unique State Coding Algorithm for Signal Transition Graphs.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

Polynomial algorithms for the synthesis for hazard-free circuits from signal transition graphs.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993

Division with speculation of quotient digits.
Proceedings of the 11th Symposium on Computer Arithmetic, 29 June, 1993

1992
Evaluation of A + B = K Conditions Without Carry Propagation.
IEEE Trans. Computers, 1992

1991
Scheduling in a continuous area-time design space.
Microprocessing and Microprogramming, 1991

1989
Reduced instruction buffer for RISC architectures.
Microprocessing and Microprogramming, 1989

1988
Dynamic RAM for on-chip instruction caches.
SIGARCH Comput. Archit. News, 1988

Designing a branch target buffer for executing branches with zero time cost in a RISC processor.
Microprocess. Microprogramming, 1988

A mechanism for reducing the cost of branches in RISC architectures.
Microprocess. Microprogramming, 1988


  Loading...