Apostolos Dollas

According to our database1, Apostolos Dollas authored at least 104 papers between 1987 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
An FPGA-Based System for Video Processing to Detect Holes in Aquaculture Nets.
Proceedings of the 21st IEEE International Conference on Bioinformatics and Bioengineering, 2021

An FPGA-Based Data Pre-Processing Architecture to Accelerate De-Novo Genome Assembly.
Proceedings of the 21st IEEE International Conference on Bioinformatics and Bioengineering, 2021

2020
Large-scale Cellular Automata on FPGAs: A New Generic Architecture and a Framework.
ACM Trans. Reconfigurable Technol. Syst., 2020

A Novel, Highly Integrated Simulator for Parallel and Distributed Systems.
ACM Trans. Archit. Code Optim., 2020

Exploring Modern FPGA Platforms for Faster Phylogeny Reconstruction with RAxML.
Proceedings of the 20th IEEE International Conference on Bioinformatics and Bioengineering, 2020

2019
Optimized FPGA Implementation of a Compute-Intensive Oil Reservoir Simulation Algorithm.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Field Programmable Gate Array Technology as an Enabling Tool Towards Large-Neighborhood Cellular Automata on Cells with Many States.
Proceedings of the 17th International Conference on High Performance Computing & Simulation, 2019

Efficient Convolutional Neural Network Weight Compression for Space Data Classification on Multi-fpga Platforms.
Proceedings of the IEEE International Conference on Acoustics, 2019

An FPGA-Based Architecture to Simulate Cellular Automata with Large Neighborhoods in Real Time.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Data Stream Statistics Over Sliding Windows: How to Summarize 150 Million Updates Per Second on a Single Node.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

2018
A performance evaluation of multi-FPGA architectures for computations of information transfer.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

Streaming data correlation on GPUs.
Proceedings of the 7th International Conference on Modern Circuits and Systems Technologies, 2018

An open-source extendable, highly-accurate and security aware simulator for cloud applications.
Proceedings of the 21st Conference on Innovation in Clouds, 2018

Comparing C and SystemC Based HLS Methods for Reconfigurable Systems Design.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

2017
A generic high throughput architecture for stream processing.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

Deploying FPGAs to future-proof genome-wide analyses based on linkage disequilibrium.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

An Architecture for the Acceleration of a Hybrid Leaky Integrate and Fire SNN on the Convey HC-2ex FPGA-Based Processor.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

An Open-Source Extendable, Highly-Accurate and Security Aware CPS Simulator.
Proceedings of the 13th International Conference on Distributed Computing in Sensor Systems, 2017

2016
Evaluation of External Memory Access Performance on a High-End FPGA Hybrid Computer.
Comput., 2016

An FPGA-based high-throughput stream join architecture.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Configure, Generate, Run - Model-based Development for Big Data Processing.
Proceedings of the European Project Space on Intelligent Technologies, 2016

Leveraging Reconfigurable Computing in Distributed Real-time Computation Systems.
Proceedings of the Workshops of the EDBT/ICDT 2016 Joint Conference, 2016

2015
Acceleration of Data Streaming Classification using Reconfigurable Technology.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
Reconfiguring the Bioinformatics Computational Spectrum: Challenges and Opportunities of FPGA-Based Bioinformatics Acceleration Platforms.
IEEE Des. Test, 2014

Big Data Processing with FPGA Supercomputers: Opportunities and Challenges.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

HPC-gSpan: An FPGA-based parallel system for frequent subgraph mining.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

2013
HC-CART: A parallel system implementation of data mining classification and regression tree (CART) algorithm on a multi-FPGA system.
ACM Trans. Archit. Code Optim., 2013

Architecture and implementation of real-time 3D stereo vision on a Xilinx FPGA.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

An FPGA-Based Real-Time System for 3D Stereo Matching, Combining Absolute Differences and Census with Aggregation and Belief Propagation.
Proceedings of the VLSI-SoC: At the Crossroads of Emerging Trends, 2013

A low cost embedded real time 3D stereo matching system for surveillance applications.
Proceedings of the 13th IEEE International Conference on BioInformatics and BioEngineering, 2013

2012
An embedded software-reconfigurable color segmentation architecture for image processing systems.
Microprocess. Microsystems, 2012

ROTA: An Archipelago-Wide Area Network for High Speed Communication to Ships.
Proceedings of the 16th Panhellenic Conference on Informatics, PCI 2012, 2012

Developing RFID-Based Systems for Security in Marine Transportations.
Proceedings of the 16th Panhellenic Conference on Informatics, PCI 2012, 2012

FPGA-based design and implementation of a multi-GBPS LDPC decoder.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Opportunities from the use of FPGAs as platforms for bioinformatics algorithms.
Proceedings of the 12th IEEE International Conference on Bioinformatics & Bioengineering, 2012

2011
Performance of partial reconfiguration in FPGA systems: A survey and a cost model.
ACM Trans. Reconfigurable Technol. Syst., 2011

Area, reconfiguration delay and reliability trade-offs in designing reliable multi-mode FIR filters.
Proceedings of the 6th IEEE International Design and Test Workshop, 2011

Exploitation of Parallel Search Space Evaluation with FPGAs in Combinatorial Problems: The Eternity II Case.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Design of a High Switching Frequency FPGA-Based SPWM Generator for DC/AC Inverters.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Novel and Highly Efficient Reconfigurable Implementation of Data Mining Classification Tree.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Architecture, Design, and Experimental Evaluation of a Lightfield Descriptor Depth Buffer Algorithm on Reconfigurable Logic and on a GPU.
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011

Reconfigurable Computing IP Cores for Multiple Sequence Alignment.
Proceedings of the BIOINFORMATICS 2011, 2011

2010
An Effective Framework to Evaluate Dynamic Partial Reconfiguration in FPGA Systems.
IEEE Trans. Instrum. Meas., 2010

Reconfigurable Architectures for Bioinformatics Applications.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

CarlOthello : An FPGA-Based Monte Carlo Othello player.
Proceedings of the International Conference on Field-Programmable Technology, 2010

GE3: A single FPGA client-server architecture for Golomb Ruler derivation.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Reconfigurable Systems for the Zuker and Predator Algorithms for Secondary Structure Prediction of Genetic Data.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Combining Duplication, Partial Reconfiguration and Software for On-line Error Diagnosis and Recovery in SRAM-Based FPGAs.
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010

2009
Exploring FPGAs for accelerating the phylogenetic likelihood function.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

A self-reconfiguring architecture supporting multiple objective functions in genetic algorithms.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

A FPGA based coprocessor for gene finding using Interpolated Markov Model (IMM).
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

A reconfigurable architecture for the Phylogenetic Likelihood Function.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

2008
A reconfigurable accelerator for quantum computations.
Proceedings of the FPL 2008, 2008

Modeling recursion data structures for FPGA-based implementation.
Proceedings of the FPL 2008, 2008

2007
A General Reconfigurable Architecture for the BLAST Algorithm.
J. VLSI Signal Process., 2007

Architecture and design of an embeddable system for SCAN-based compression, encryption and information hiding.
J. Real Time Image Process., 2007

Design Space Exploration for the BLAST Algorithm Implementation.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

Methodology and Experimental Setup for the Determination of System-level Dynamic Reconfiguration Overhead.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

An Integrated Video Compression, Encryption and Information Hiding Architecture based on the SCAN Algorithm and the Stretch Technology.
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007

2006
Low-Cost Real-Time 2-D Motion Detection Based on Reconfigurable Computing.
IEEE Trans. Instrum. Meas., 2006

High-frequency pulse width modulation implementation using FPGA and CPLD ICs.
J. Syst. Archit., 2006

Rapid Phototyping of a System-on-a-Chip for the BLAST Algorithm Implementation.
Proceedings of the 17th IEEE International Workshop on Rapid System Prototyping (RSP 2006), 2006

Some initial results on hardware BLAST acceleration with a reconfigurable architecture.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

FPGA based architecture for DNA sequence comparison and database search.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Performance Evaluation of a Preloading Model in Dynamically Reconfigurable Processors.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006

A Task Graph Approach for Efficient Exploitation of Reconfiguration in Dynamically Reconfigurable Systems.
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006

Parrotfish: Task Distribution in a Low Cost Autonomous ad hoc Sensor Network through Dynamic Runtime Reconfiguration.
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006

2005
A 2-D motion detection model for low-cost embedded reconfigurable I/O devices.
IEEE Trans. Biomed. Eng., 2005

An Architecture for Video Compression Based on the SCAN Algorithm.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

On Distributed Reconfigurable Systems: Open Problems and Some Initial Solutions.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

An Open TCP/IP Core for Reconfigurable Logic.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

2004
A Case Study on Rapid Prototyping of Hardware Systems: The Effect of CAD Tool Capabilities, Design Flows, and Design Styles.
Proceedings of the 15th IEEE International Workshop on Rapid System Prototyping (RSP 2004), 2004

2003
A Reconfigurable Logic-Based Processor for the SCAN Image and Video Encryption Algorithm.
Int. J. Parallel Program., 2003

SCAN-Based Compression-Encryption-Hiding for Video on Demand.
IEEE Multim., 2003

A Universal Low Cost Run-Time and Programming Environment for Reconfigurable Computing.
Proceedings of the 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 2003

Adaptation of a low cost motion recognition system for custom operation from shrink-wrapped hardware.
Proceedings of the 2003 ACM SIGMM Workshop on Biometrics Methods and Applications, 2003

A Second Generation Embedded Reconfigurable Input Device for Kinetically Challenged Persons.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003

Performance Analysis of Fixed, Reconfigurable, and Custom Architectures for the SCAN Image and Video Encryption Algorithm.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003

2001
Rapid Prototyping of a Reusable 4x4 Active ATM Switch Core with the PCI Pamette.
Proceedings of the 12th IEEE International Workshop on Rapid System Prototyping (RSP 2001), 2001

A Reconfigurable Embedded Input Device for Kinetically Challenged Persons.
Proceedings of the Field-Programmable Logic and Applications, 2001

Architecture and Application of PLATO, A Reconfigurable Active Network Platform.
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001

2000
A Configurable Logic Based Architecture for Real-Time Continuous Speech Recognition Using Hidden Markov Models.
J. VLSI Signal Process., 2000

Hardware-Software Codesign and Parallel Implementation of a Golomb Ruler Derivation Engine.
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000

1998
A New Algorithm for Golomb Ruler Derivation and Proof of the 19 Mark Ruler.
IEEE Trans. Inf. Theory, 1998

General Purpose vs. Custom FCCM's: a Comparison of Splash2, Quickturn RPM, and GE1 for Golomb Ruler Derivation.
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998

Architecture and Design of GE1, a FCCM for Golomb Ruler Derivation.
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998

1997
Telegraphos: A Substrate for High-Performance Computing on Workstation Clusters.
J. Parallel Distributed Comput., 1997

1995
Reducing the Time to Market Through Rapid Prototyping - Guest Editors' Introduction.
Computer, 1995

Rapid Prototyping of Microelectronic Systems.
Adv. Comput., 1995

A case study of system synthesis with non-synthesizable components using extended VHDL.
Proceedings of the Sixth IEEE International Workshop on Rapid System Prototyping (RSP '95), 1995

1994
Predicting and precluding problems with memory latency.
IEEE Micro, 1994

Extended VHDL for the rapid prototyping of systems with synthesizable and nonsynthesizable subsystems.
Proceedings of IEEE 5th International Workshop on Rapid System Prototyping, 1994

FPGA Based Low Cost Generic Reusable Module for the Rapid Prototyping of Subsystems.
Proceedings of the Field-Programmable Logic, 1994

1993
Nonparametric graded data processing with back-error propagation networks.
Inf. Sci., 1993

1992
An evaluation of the Teamwork CASE environment for specifications capture of hardware systems.
Proceedings of the Third International Workshop on Rapid System Prototyping, 1992

1991
The Evolution of Instruction Sequencing.
Computer, 1991

Experimental results in rapid system prototyping with incomplete CAD tools and inexperienced designers.
Proceedings of the Second International Workshop on Rapid System Prototyping, 1991

Knowledge based process scheduling on symmetric multiprocessors.
Proceedings of the Third International Conference on Tools for Artificial Intelligence, 1991

1990
Rapid system prototyping in academic laboratories of the 1990s.
Proceedings of the First International Workshop on Rapid System Prototyping, 1990

An interactive environment for the transparent logic simulation and testing of integrated circuits.
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990

Applying knowledge-based technology: trends and directions (Panel Session).
Proceedings of the Fourteenth Annual International Computer Software and Applications Conference, 1990

1989
A knowledge-based environment for the integration of logical and physical testing of VLSI circuits.
Proceedings of the IEEE International Workshop on Tools for Artificial Intelligence: Architectures, 1989

1987
Architecture and Applications of a Unifield-Type Computer
PhD thesis, 1987

Unary Processing.
Adv. Comput., 1987


  Loading...