Benjamin G. Lee

Orcid: 0000-0002-2785-1492

According to our database1, Benjamin G. Lee authored at least 38 papers between 2005 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Intra-node High-performance Computing Network Architecture with Fast Optical Switch Fabrics.
Proceedings of the 2022 27th OptoElectronics and Communications Conference (OECC) and 2022 International Conference on Photonics in Switching and Computing (PSC), 2022

Driving Down Link Energy and Driving Up Link Density in GPU Networks.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2022

2021
Toward lower-diameter large-scale HPC and data center networks with co-packaged optics.
JOCN, 2021

2020
Intra-node high-performance computing network architecture with nanosecond-scale photonic switches [Invited].
JOCN, 2020

A Monolithically Integrated Silicon Photonics 8×8 Switch in 90nm SOI CMOS.
Proceedings of the IEEE Symposium on VLSI Circuits, 2020

Scaling HPC Networks with Co-Packaged Optics.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

An 8×8 Silicon Photonic Switch Module with Nanosecond-Scale Reconfigurability.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2020

2019
Toward Optical Networks using Rapid Amplified Multi-Wavelength Photonic Switches.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

A Nonblocking 4×4 Mach-Zehnder Switch with Integrated Gain and Nanosecond-Scale Reconfiguration Time.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2019

2018
A 60-Gb/s 1.9-pJ/bit NRZ Optical Receiver With Low-Latency Digital CDR in 14-nm CMOS FinFET.
IEEE J. Solid State Circuits, 2018

FEC-Free 60-Gb/s Silicon Photonic Link Using SiGe-Driver ICs Hybrid-Integrated with Photonics-Enabled CMOS.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

Photonic Switch Fabrics in Computer Communications Systems.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

System-Level Demonstration of a Dynamically Reconfigured Burst-Mode Link Using a Nanosecond Si-Photonic Switch.
Proceedings of the Optical Fiber Communications Conference and Exposition, 2018

2017
A 64-Gb/s 1.4-pJ/b NRZ Optical Receiver Data-Path in 14-nm CMOS FinFET.
IEEE J. Solid State Circuits, 2017

Driver-integrated 56-Gb/s segmented electrode silicon Mach Zehnder modulator using optical-domain equalization.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2017

29.1 A 64Gb/s 1.4pJ/b NRZ optical-receiver data-path in 14nm CMOS FinFET.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Silicon Photonic Switching: Technology and Architecture.
Proceedings of the European Conference on Optical Communication, 2017

2016
A gain-integrated silicon photonic carrier with SOA-array for scalable optical switch fabrics.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

Scalability of optical circuit switches using 2×2 Mach-Zehnder switches as a building block.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2016

2015
A 25 Gb/s Burst-Mode Receiver for Low Latency Photonic Switch Networks.
IEEE J. Solid State Circuits, 2015

A 25 Gb/s burst-mode receiver for low latency photonic switch networks.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

A WDM 4×28Gbps integrated silicon photonic transmitter driven by 32nm CMOS driver ICs.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

A WDM-Compatible 4 × 32-Gb/s CMOS-driven electro-absorption modulator array.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2015

22.1 A 25Gb/s burst-mode receiver for rapidly reconfigurable optical networks.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

2014
A Throughput-Optimized Optical Network for Data-Intensive Computing.
IEEE Micro, 2014

Connector performance analysis for D-shaped multi-core multi mode fiber.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

30Gbps optical link utilizing heterogeneously integrated III-V/Si photonics and CMOS circuits.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2014

2013
35-Gb/s VCSEL-Based optical link using 32-nm SOI CMOS circuits.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

Four- and eight-port photonic switches monolithically integrated with digital CMOS logic and driver circuits.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

High-throughput fiber links for computercom interconnects.
Proceedings of the 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), 2013

2012
Silicon Photonic Switches Hybrid-Integrated With CMOS Drivers.
IEEE J. Solid State Circuits, 2012

Ultra-Low-Power 10 to 285 Gb/s CMOS-Driven VCSEL-Based Optical Links [Invited].
JOCN, 2012

2011
A 3.9ns 8.9mW 4×4 silicon photonic switch hybrid integrated with CMOS driver.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

2009
Photonic NoCs: System-Level Design Exploration.
IEEE Micro, 2009

Analysis of photonic networks for a chip multiprocessor using scientific applications.
Proceedings of the Third International Symposium on Networks-on-Chips, 2009

2008
Design Exploration of Optical Interconnection Networks for Chip Multiprocessors.
Proceedings of the 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 2008

2007
Photonic NoC for DMA Communications in Chip Multiprocessors.
Proceedings of the 15th Annual IEEE Symposium on High-Performance Interconnects, 2007

2005
A Scalable, Self-Routed, Terabit Capacity, Photonic Interconnection Network.
Proceedings of the 13th Annual IEEE Symposium on High Performance Interconnects (HOTIC 2005), 2005


  Loading...