Denis Kleyko

Orcid: 0000-0002-6032-6155

According to our database1, Denis Kleyko authored at least 70 papers between 2012 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Generalized Key-Value Memory to Flexibly Adjust Redundancy in Memory-Augmented Networks.
IEEE Trans. Neural Networks Learn. Syst., December, 2023

Efficient Decoding of Compositional Structure in Holistic Representations.
Neural Comput., July, 2023

Variable Binding for Sparse Distributed Representations: Theory and Applications.
IEEE Trans. Neural Networks Learn. Syst., May, 2023

Torchhd: An Open Source Python Library to Support Research on Hyperdimensional Computing and Vector Symbolic Architectures.
J. Mach. Learn. Res., 2023

A Survey on Hyperdimensional Computing aka Vector Symbolic Architectures, Part II: Applications, Cognitive Models, and Challenges.
ACM Comput. Surv., 2023

A Survey on Hyperdimensional Computing aka Vector Symbolic Architectures, Part I: Models and Data Transformations.
ACM Comput. Surv., 2023

Computing with Residue Numbers in High-Dimensional Representation.
CoRR, 2023

2022
Cellular Automata Can Reduce Memory Requirements of Collective-State Computing.
IEEE Trans. Neural Networks Learn. Syst., 2022

Integer Echo State Networks: Efficient Reservoir Computing for Digital Hardware.
IEEE Trans. Neural Networks Learn. Syst., 2022

Vector Symbolic Architectures as a Computing Framework for Emerging Hardware.
Proc. IEEE, 2022

Efficient Optimization with Higher-Order Ising Machines.
CoRR, 2022

Computing with Hypervectors for Efficient Speaker Identification.
CoRR, 2022

Integer Factorization with Compositional Distributed Representations.
Proceedings of the NICE 2022: Neuro-Inspired Computational Elements Conference, 2022

Computing on Functions Using Randomized Vector Representations (in brief).
Proceedings of the NICE 2022: Neuro-Inspired Computational Elements Conference, 2022

Few-shot Federated Learning in Randomized Neural Networks via Hyperdimensional Computing.
Proceedings of the International Joint Conference on Neural Networks, 2022

Recursive Binding for Similarity-Preserving Hypervector Representations of Sequences.
Proceedings of the International Joint Conference on Neural Networks, 2022

2021
Density Encoding Enables Resource-Efficient Randomly Connected Neural Networks.
IEEE Trans. Neural Networks Learn. Syst., 2021

NL-Augmenter: A Framework for Task-Sensitive Natural Language Augmentation.
CoRR, 2021

HyperSeed: Unsupervised Learning with Vector Symbolic Architectures.
CoRR, 2021

Computing on Functions Using Randomized Vector Representations.
CoRR, 2021

Vector Symbolic Architectures as a Computing Framework for Nanoscale Hardware.
CoRR, 2021

On Effects of Compression with Hyperdimensional Computing in Distributed Randomized Neural Networks.
Proceedings of the Advances in Computational Intelligence, 2021

Hyperdimensional Computing for Efficient Distributed Classification with Randomized Neural Networks.
Proceedings of the International Joint Conference on Neural Networks, 2021

Generalized Learning Vector Quantization for Classification in Randomized Neural Networks and Hyperdimensional Computing.
Proceedings of the International Joint Conference on Neural Networks, 2021

HyperEmbed: Tradeoffs Between Resources and Performance in NLP Tasks with Hyperdimensional Computing Enabled Embedding of n-gram Statistics.
Proceedings of the International Joint Conference on Neural Networks, 2021

2020
An Automated Machine Learning Approach for Smart Waste Management Systems.
IEEE Trans. Ind. Informatics, 2020

Autoscaling Bloom filter: controlling trade-off between true and false positives.
Neural Comput. Appl., 2020

Perceptron Theory for Predicting the Accuracy of Neural Networks.
CoRR, 2020

Commentaries on "Learning Sensorimotor Control with Neuromorphic Sensors: Toward Hyperdimensional Active Perception" [Science Robotics Vol 4 Issue 30 (2019) 1-10.
CoRR, 2020

End to End Binarized Neural Networks for Text Classification.
Proceedings of SustaiNLP: Workshop on Simple and Efficient Natural Language Processing, 2020

2019
Real-Time Jamming DoS Detection in Safety-Critical V2V C-ITS Using Data Mining.
IEEE Commun. Lett., 2019

A Hyperdimensional Computing Framework for Analysis of Cardiorespiratory Synchronization During Paced Deep Breathing.
IEEE Access, 2019

Parameter Estimation for the Resulting Logical Channel Formed by Minimizing Channel Switching.
Autom. Remote. Control., 2019

Trajectory clustering of road traffic in urban environments using incremental machine learning in combination with hyperdimensional computing.
Proceedings of the 2019 IEEE Intelligent Transportation Systems Conference, 2019

Integer Self-Organizing Maps for Digital Hardware.
Proceedings of the International Joint Conference on Neural Networks, 2019

Low-Power Classification using FPGA - An Approach based on Cellular Automata, Neural Networks, and Hyperdimensional Computing.
Proceedings of the 18th IEEE International Conference On Machine Learning And Applications, 2019

Distributed Representation of n-gram Statistics for Boosting Self-organizing Maps with Hyperdimensional Computing.
Proceedings of the Perspectives of System Informatics, 2019

2018
Vector Symbolic Architectures and their applications: Computing with random vectors in a hyperdimensional space.
PhD thesis, 2018

Classification and Recall With Binary Hyperdimensional Computing: Tradeoffs in Choice of Density and Mapping Characteristics.
IEEE Trans. Neural Networks Learn. Syst., 2018

Robust Methods for Automated Selection of Cardiac Signals After Blind Source Separation.
IEEE Trans. Biomed. Eng., 2018

AI-Based Malicious Network Traffic Detection in VANETs.
IEEE Netw., 2018

A Theory of Sequence Indexing and Working Memory in Recurrent Neural Networks.
Neural Comput., 2018

Hyperdimensional Computing in Industrial Systems: The Use-Case of Distributed Fault Isolation in a Power Plant.
IEEE Access, 2018

A Domain Knowledge-Based Solution for Human Activity Recognition: The UJA Dataset Analysis.
Proceedings of the 12th International Conference on Ubiquitous Computing and Ambient Intelligence, 2018

Vector-Based Analysis of the Similarity Between Breathing and Heart Rate During Paced Deep Breathing.
Proceedings of the Computing in Cardiology, 2018

Detection of Atrial Fibrillation From Short ECGs: Minimalistic Complexity Analysis for Feature-Based Classifiers.
Proceedings of the Computing in Cardiology, 2018

2017
Holographic Graph Neuron: A Bioinspired Architecture for Pattern Processing.
IEEE Trans. Neural Networks Learn. Syst., 2017

High-Dimensional Computing as a Nanoscalable Paradigm.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

Neural Distributed Autoassociative Memories: A Survey.
CoRR, 2017

Autoscaling Bloom Filter: Controlling Trade-off Between True and False Positives.
CoRR, 2017

Integer Echo State Networks: Hyperdimensional Reservoir Computing.
CoRR, 2017

Theory of the superposition principle for randomized connectionist representations in neural networks.
CoRR, 2017

Modality classification of medical images with distributed representations based on cellular automata reservoir computing.
Proceedings of the 14th IEEE International Symposium on Biomedical Imaging, 2017

Associative synthesis of finite state automata model of a controlled object with hyperdimensional computing.
Proceedings of the IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society, Beijing, China, October 29, 2017

Poster: Approximate Sensing with Vector Symbolic Architectures: The case of fault isolation in distributed automation systems.
Proceedings of the 2017 International Conference on Embedded Wireless Systems and Networks, 2017

2016
Vehicle classification using road side sensors and feature-free data smashing approach.
Proceedings of the 19th IEEE International Conference on Intelligent Transportation Systems, 2016

Sparse Coding of Cardiac Signals for Automated Component Selection after Blind Source Separation.
Proceedings of the Computing in Cardiology, CinC 2016, Vancouver, 2016

Modification of Holographic Graph Neuron Using Sparse Distributed Representations.
Proceedings of the 7th Annual International Conference on Biologically Inspired Cognitive Architectures, 2016

Recognizing Permuted Words with Vector Symbolic Architectures: A Cambridge Test for Machines.
Proceedings of the 7th Annual International Conference on Biologically Inspired Cognitive Architectures, 2016

2015
Performance Peculiarities of Viterbi Decoder in Mathworks Simulink, GNU Radio and Other Systems with Likewise Implementation.
CoRR, 2015

An Approach for Self-Adaptive Path Loss Modeling for Accurate Positioning in Underground Environments.
CoRR, 2015

Holographic Graph Neuron: a Bio-Inspired Architecture for Pattern Processing.
CoRR, 2015

Comparison of Machine Learning Techniques for Vehicle Classification Using Road Side Sensors.
Proceedings of the IEEE 18th International Conference on Intelligent Transportation Systems, 2015

Fault detection in the hyperspace: Towards intelligent automation systems.
Proceedings of the 13th IEEE International Conference on Industrial Informatics, 2015

Fly-The-Bee: A Game Imitating Concept Learning in Bees.
Proceedings of the 6th Annual International Conference on Biologically Inspired Cognitive Architectures, 2015

2014
Exploiting bacterial properties for multi-hop nanonetworks.
IEEE Commun. Mag., 2014

Modified Algorithm of Dynamic Frequency Hopping (DFH) in the IEEE 802.22 Standard.
Proceedings of the Multiple Access Communications - 7th International Workshop, 2014

On methodology of implementing distributed function block applications using TinyOS WSN nodes.
Proceedings of the 2014 IEEE Emerging Technology and Factory Automation, 2014

On Bidirectional Transitions between Localist and Distributed Representations: The Case of Common Substrings Search Using Vector Symbolic Architecture.
Proceedings of the 5th Annual International Conference on Biologically Inspired Cognitive Architectures, 2014

2012
Dependable MAC Layer Architecture Based on Holographic Data Representation Using Hyper-Dimensional Binary Spatter Codes.
Proceedings of the Multiple Access Communications - 5th International Workshop, 2012


  Loading...